Commit c6e2ce64 authored by Mike Lyons's avatar Mike Lyons

Fastclock now working (appears to at least) at 3mbps

parent fba24c05
-intstyle "ise" -incremental -lib "unisims_ver" -lib "unimacro_ver" -lib "xilinxcorelib_ver" -lib "secureip" -o "C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/spiifc_tb_isim_beh.exe" -prj "C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/spiifc_tb_beh.prj" "work.spiifc_tb" "work.glbl"
-intstyle "ise" -incremental -lib "unisims_ver" -lib "unimacro_ver" -lib "xilinxcorelib_ver" -lib "secureip" -o "C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/spiifc_tb2_isim_beh.exe" -prj "C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/spiifc_tb2_beh.prj" "work.spiifc_tb2" "work.glbl"
......@@ -11,13 +11,13 @@
<ClosedNode>/spiifc - IMP C:|Users|mjlyons|workspace|vSPI|projnav|xps|pcores|spiifc_v1_00_a|hdl|vhdl|spiifc.vhd/PLBV46_SLAVE_BURST_I - plbv46_slave_burst - implementation/I_SLAVE_ATTACHMENT - plb_slave_attachment - implementation</ClosedNode>
</ClosedNodes>
<SelectedItems>
<SelectedItem>spiifc_tb2 (C:/Users/mjlyons/workspace/vSPI/test/spi_base/spiifc_tb2.v)</SelectedItem>
<SelectedItem>spiwrap (C:/Users/mjlyons/workspace/vSPI/src/spi_base/spiwrap.v)</SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000225000000020000000000000000000000000200000064ffffffff000000810000000300000002000002250000000100000003000000000000000100000003</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >true</UserChangedColumnWidths>
<CurrentItem>spiifc_tb2 (C:/Users/mjlyons/workspace/vSPI/test/spi_base/spiifc_tb2.v)</CurrentItem>
<CurrentItem>spiwrap (C:/Users/mjlyons/workspace/vSPI/src/spi_base/spiwrap.v)</CurrentItem>
</ItemView>
<ItemView engineview="SynthesisOnly" sourcetype="DESUT_VHDL_ARCHITECTURE" guiview="Process" >
<ClosedNodes>
......@@ -88,13 +88,13 @@
<ClosedNode>User Constraints</ClosedNode>
</ClosedNodes>
<SelectedItems>
<SelectedItem></SelectedItem>
<SelectedItem>Manage Configuration Project (iMPACT)</SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem></CurrentItem>
<CurrentItem>Manage Configuration Project (iMPACT)</CurrentItem>
</ItemView>
<ItemView engineview="SynthesisOnly" sourcetype="DESUT_XCO" guiview="Process" >
<ClosedNodes>
......@@ -115,18 +115,15 @@
<ClosedNodes>
<ClosedNodesVersion>2</ClosedNodesVersion>
<ClosedNode>/spiifc - IMP C:|Users|mjlyons|workspace|vSPI|projnav|xps|pcores|spiifc_v1_00_a|hdl|vhdl|spiifc.vhd</ClosedNode>
<ClosedNode>/spiifc_tb C:|Users|mjlyons|workspace|vSPI|test|spi_base|spiifc_tb.v</ClosedNode>
<ClosedNode>/spiifc_tb2 C:|Users|mjlyons|workspace|vSPI|test|spi_base|spiifc_tb2.v</ClosedNode>
<ClosedNode>/spiwrap C:|Users|mjlyons|workspace|vSPI|src|spi_base|spiwrap.v</ClosedNode>
</ClosedNodes>
<SelectedItems>
<SelectedItem>spiifc_tb (C:/Users/mjlyons/workspace/vSPI/test/spi_base/spiifc_tb.v)</SelectedItem>
<SelectedItem>spiifc_tb2 (C:/Users/mjlyons/workspace/vSPI/test/spi_base/spiifc_tb2.v)</SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000000be000000020000000000000000000000000200000064ffffffff000000810000000300000002000000be0000000100000003000000000000000100000003</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem>spiifc_tb (C:/Users/mjlyons/workspace/vSPI/test/spi_base/spiifc_tb.v)</CurrentItem>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000133000000020000000000000000000000000200000064ffffffff000000810000000300000002000001330000000100000003000000000000000100000003</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >true</UserChangedColumnWidths>
<CurrentItem>spiifc_tb2 (C:/Users/mjlyons/workspace/vSPI/test/spi_base/spiifc_tb2.v)</CurrentItem>
</ItemView>
<ItemView engineview="BehavioralSim" sourcetype="" guiview="Process" >
<ClosedNodes>
......
<?xml version='1.0' encoding='UTF-8'?>
<report-views version="2.0" >
<header>
<DateModified>2012-03-07T09:22:38</DateModified>
<ModuleName>spiifc</ModuleName>
<SummaryTimeStamp>2012-03-06T18:02:57</SummaryTimeStamp>
<DateModified>2012-03-07T12:09:17</DateModified>
<ModuleName>spiwrap</ModuleName>
<SummaryTimeStamp>2012-03-07T10:02:06</SummaryTimeStamp>
<SavedFilePath>C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/iseconfig/spiifc.xreport</SavedFilePath>
<ImplementationReportsDirectory>C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav\</ImplementationReportsDirectory>
<DateInitialized>2012-03-06T14:46:14</DateInitialized>
<DateInitialized>2012-03-07T09:46:20</DateInitialized>
<EnableMessageFiltering>false</EnableMessageFiltering>
</header>
<body>
......
<?xml version='1.0' encoding='UTF-8'?>
<report-views version="2.0" >
<header>
<DateModified>2012-03-06T15:48:54</DateModified>
<ModuleName>spiifc</ModuleName>
<SummaryTimeStamp>Unknown</SummaryTimeStamp>
<DateModified>2012-03-07T16:13:02</DateModified>
<ModuleName>spiwrap</ModuleName>
<SummaryTimeStamp>2012-03-07T14:29:36</SummaryTimeStamp>
<SavedFilePath>C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/iseconfig/spiwrap.xreport</SavedFilePath>
<ImplementationReportsDirectory>C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav\</ImplementationReportsDirectory>
<DateInitialized>2012-03-06T15:48:54</DateInitialized>
......
<TABLE BORDER CELLSPACING=0 WIDTH='100%'>
<xtag-section name="ParStatistics">
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=1><B>Par Statistics</B></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Signals</xtag-par-property-name>=<xtag-par-property-value>152</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Design Pins</xtag-par-property-name>=<xtag-par-property-value>555</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Conns</xtag-par-property-name>=<xtag-par-property-value>555</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Timing Constrained Conns</xtag-par-property-name>=<xtag-par-property-value>412</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 1 CPU</xtag-par-property-name>=<xtag-par-property-value>5.4 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 2 CPU</xtag-par-property-name>=<xtag-par-property-value>6.1 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Signals</xtag-par-property-name>=<xtag-par-property-value>32</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Design Pins</xtag-par-property-name>=<xtag-par-property-value>141</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Conns</xtag-par-property-name>=<xtag-par-property-value>141</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Timing Constrained Conns</xtag-par-property-name>=<xtag-par-property-value>128</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 1 CPU</xtag-par-property-name>=<xtag-par-property-value>5.8 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 2 CPU</xtag-par-property-name>=<xtag-par-property-value>6.7 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 3 CPU</xtag-par-property-name>=<xtag-par-property-value>7.0 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 4 CPU</xtag-par-property-name>=<xtag-par-property-value>8.8 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 5 CPU</xtag-par-property-name>=<xtag-par-property-value>9.0 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 6 CPU</xtag-par-property-name>=<xtag-par-property-value>9.0 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 7 CPU</xtag-par-property-name>=<xtag-par-property-value>9.0 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 8 CPU</xtag-par-property-name>=<xtag-par-property-value>9.0 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 9 CPU</xtag-par-property-name>=<xtag-par-property-value>9.0 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 10 CPU</xtag-par-property-name>=<xtag-par-property-value>9.0 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 1</xtag-par-property-name>=<xtag-par-property-value>4.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 2</xtag-par-property-name>=<xtag-par-property-value>9.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 3</xtag-par-property-name>=<xtag-par-property-value>3.7</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 4</xtag-par-property-name>=<xtag-par-property-value>1.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 10</xtag-par-property-name>=<xtag-par-property-value>3.2</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 50</xtag-par-property-name>=<xtag-par-property-value>3.9</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 4 CPU</xtag-par-property-name>=<xtag-par-property-value>8.6 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 5 CPU</xtag-par-property-name>=<xtag-par-property-value>8.7 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 6 CPU</xtag-par-property-name>=<xtag-par-property-value>8.7 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 7 CPU</xtag-par-property-name>=<xtag-par-property-value>8.7 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 8 CPU</xtag-par-property-name>=<xtag-par-property-value>8.7 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 9 CPU</xtag-par-property-name>=<xtag-par-property-value>8.7 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 10 CPU</xtag-par-property-name>=<xtag-par-property-value>8.7 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 1</xtag-par-property-name>=<xtag-par-property-value>11.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 2</xtag-par-property-name>=<xtag-par-property-value>11.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 3</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 4</xtag-par-property-name>=<xtag-par-property-value>3.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 10</xtag-par-property-name>=<xtag-par-property-value>19.6</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 50</xtag-par-property-name>=<xtag-par-property-value>1.7</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 100</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 500</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 5000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 20000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 50000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 100000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>IRR Gamma</xtag-par-property-name>=<xtag-par-property-value>1.0147</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>IRR Gamma</xtag-par-property-name>=<xtag-par-property-value>1.0036</xtag-par-property-value></TD></TR>
</xtag-section>
</TABLE>
......@@ -27,7 +27,7 @@
</files>
<transforms xmlns="http://www.xilinx.com/XMLSchema">
<transform xil_pn:end_ts="1331066936" xil_pn:in_ck="-8467753332869629521" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1331066936">
<transform xil_pn:end_ts="1331148521" xil_pn:in_ck="-8467753332869629521" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1331148521">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
......
......@@ -17,117 +17,117 @@
<files>
<file xil_pn:name="../../hdl/vhdl/spiifc.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="21"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../hdl/verilog/user_logic.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="20"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="C:/Xilinx/13.2/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/proc_common_pkg.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="1"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
<library xil_pn:name="proc_common_v3_00_a"/>
</file>
<file xil_pn:name="C:/Xilinx/13.2/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/ipif_pkg.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="6"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
<library xil_pn:name="proc_common_v3_00_a"/>
</file>
<file xil_pn:name="C:/Xilinx/13.2/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/or_muxcy.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="2"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
<library xil_pn:name="proc_common_v3_00_a"/>
</file>
<file xil_pn:name="C:/Xilinx/13.2/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/or_gate128.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="5"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
<library xil_pn:name="proc_common_v3_00_a"/>
</file>
<file xil_pn:name="C:/Xilinx/13.2/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/family_support.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="3"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
<library xil_pn:name="proc_common_v3_00_a"/>
</file>
<file xil_pn:name="C:/Xilinx/13.2/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/pselect_f.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="4"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
<library xil_pn:name="proc_common_v3_00_a"/>
</file>
<file xil_pn:name="C:/Xilinx/13.2/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hdl/vhdl/counter_f.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="7"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
<library xil_pn:name="proc_common_v3_00_a"/>
</file>
<file xil_pn:name="C:/Xilinx/13.2/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_burst_v1_01_a/hdl/vhdl/flex_addr_cntr.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="8"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
<library xil_pn:name="plbv46_slave_burst_v1_01_a"/>
</file>
<file xil_pn:name="C:/Xilinx/13.2/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_burst_v1_01_a/hdl/vhdl/addr_reg_cntr_brst_flex.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="13"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
<library xil_pn:name="plbv46_slave_burst_v1_01_a"/>
</file>
<file xil_pn:name="C:/Xilinx/13.2/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_burst_v1_01_a/hdl/vhdl/plb_address_decoder.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="10"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
<library xil_pn:name="plbv46_slave_burst_v1_01_a"/>
</file>
<file xil_pn:name="C:/Xilinx/13.2/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_burst_v1_01_a/hdl/vhdl/burst_support.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="11"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
<library xil_pn:name="plbv46_slave_burst_v1_01_a"/>
</file>
<file xil_pn:name="C:/Xilinx/13.2/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_burst_v1_01_a/hdl/vhdl/wr_buffer.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="9"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
<library xil_pn:name="plbv46_slave_burst_v1_01_a"/>
</file>
<file xil_pn:name="C:/Xilinx/13.2/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_burst_v1_01_a/hdl/vhdl/be_reset_gen.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="12"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
<library xil_pn:name="plbv46_slave_burst_v1_01_a"/>
</file>
<file xil_pn:name="C:/Xilinx/13.2/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_burst_v1_01_a/hdl/vhdl/plb_slave_attachment.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="14"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
<library xil_pn:name="plbv46_slave_burst_v1_01_a"/>
</file>
<file xil_pn:name="C:/Xilinx/13.2/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_burst_v1_01_a/hdl/vhdl/data_mirror_128.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="15"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
<library xil_pn:name="plbv46_slave_burst_v1_01_a"/>
</file>
<file xil_pn:name="C:/Xilinx/13.2/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_burst_v1_01_a/hdl/vhdl/plbv46_slave_burst.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="18"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
<library xil_pn:name="plbv46_slave_burst_v1_01_a"/>
</file>
<file xil_pn:name="C:/Xilinx/13.2/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/interrupt_control_v2_01_a/hdl/vhdl/interrupt_control.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="19"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
<library xil_pn:name="interrupt_control_v2_01_a"/>
</file>
<file xil_pn:name="ipcore_dir/buffermem.xco" xil_pn:type="FILE_COREGEN">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="17"/>
<association xil_pn:name="Implementation" xil_pn:seqID="2"/>
</file>
<file xil_pn:name="../../../../../../src/spi_base/spiifc.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="1"/>
<association xil_pn:name="Implementation" xil_pn:seqID="16"/>
<association xil_pn:name="Implementation" xil_pn:seqID="1"/>
</file>
<file xil_pn:name="../../../../../../src/spi_base/spiwrap.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="3"/>
</file>
<file xil_pn:name="../../../../../../test/spi_base/spiifc_tb.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="PostMapSimulation" xil_pn:seqID="92"/>
<association xil_pn:name="PostRouteSimulation" xil_pn:seqID="92"/>
<association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="92"/>
</file>
<file xil_pn:name="../../../../../../test/spi_base/spiifc_tb2.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../../../../ucf/atlys/spiwrap.ucf" xil_pn:type="FILE_UCF">
......@@ -247,9 +247,9 @@
<property xil_pn:name="ISim UUT Instance Name" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Ignore User Timing Constraints Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Ignore User Timing Constraints Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Implementation Top" xil_pn:value="Architecture|spiifc|IMP" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top File" xil_pn:value="../../hdl/vhdl/spiifc.vhd" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/spiifc" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top" xil_pn:value="Module|spiwrap" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top File" xil_pn:value="../../../../../../src/spi_base/spiwrap.v" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/spiwrap" xil_pn:valueState="non-default"/>
<property xil_pn:name="Include 'uselib Directive in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include SIMPRIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include UNISIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
......@@ -313,7 +313,7 @@
<property xil_pn:name="Other XPWR Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other XST Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Output Extended Identifiers" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Output File Name" xil_pn:value="spiifc" xil_pn:valueState="default"/>
<property xil_pn:name="Output File Name" xil_pn:value="spiwrap" xil_pn:valueState="default"/>
<property xil_pn:name="Overwrite Compiled Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Pack I/O Registers into IOBs" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Pack I/O Registers/Latches into IOBs" xil_pn:value="Off" xil_pn:valueState="default"/>
......@@ -327,10 +327,10 @@
<property xil_pn:name="Placer Effort Level Map" xil_pn:value="High" xil_pn:valueState="default"/>
<property xil_pn:name="Placer Extra Effort Map" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Port to be used" xil_pn:value="Auto - default" xil_pn:valueState="default"/>
<property xil_pn:name="Post Map Simulation Model Name" xil_pn:value="spiifc_map.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Place &amp; Route Simulation Model Name" xil_pn:value="spiifc_timesim.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Synthesis Simulation Model Name" xil_pn:value="spiifc_synthesis.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Translate Simulation Model Name" xil_pn:value="spiifc_translate.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Map Simulation Model Name" xil_pn:value="spiwrap_map.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Place &amp; Route Simulation Model Name" xil_pn:value="spiwrap_timesim.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Synthesis Simulation Model Name" xil_pn:value="spiwrap_synthesis.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Translate Simulation Model Name" xil_pn:value="spiwrap_translate.v" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Map spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Xst" xil_pn:value="false" xil_pn:valueState="default"/>
......@@ -373,8 +373,8 @@
<property xil_pn:name="Run for Specified Time Translate" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Safe Implementation" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Security" xil_pn:value="Enable Readback and Reconfiguration" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Module Instance Name" xil_pn:value="/spiifc_tb" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="work.spiifc_tb" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Module Instance Name" xil_pn:value="/spiifc_tb2" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="work.spiifc_tb2" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
......@@ -392,7 +392,7 @@
<property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/>
<property xil_pn:name="Slice Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Specify 'define Macro Name and Value" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="work.spiifc_tb" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="work.spiifc_tb2" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Map" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Route" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Translate" xil_pn:value="Default" xil_pn:valueState="default"/>
......@@ -443,7 +443,7 @@
<!-- -->
<!-- The following properties are for internal use only. These should not be modified.-->
<!-- -->
<property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="Module|spiifc_tb" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="Module|spiifc_tb2" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_DesignName" xil_pn:value="spiifc" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_FPGAConfiguration" xil_pn:value="FPGAConfiguration" xil_pn:valueState="default"/>
......
<HTML><HEAD><TITLE>Xilinx System Settings Report</TITLE></HEAD>
<BODY TEXT='#000000' BGCOLOR='#FFFFFF' LINK='#0000EE' VLINK='#551A8B' ALINK='#FF0000'>
<center><big><big><b>System Settings</b></big></big></center><br>
<A NAME="Environment Settings"></A>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='5'><B> Environment Settings </B></TD>
</tr>
<tr bgcolor='#ffff99'>
<td><b>Environment Variable</b></td>
<td><b>xst</b></td>
<td><b>ngdbuild</b></td>
<td><b>map</b></td>
<td><b>par</b></td>
</tr>
<tr>
<td>PATHEXT</td>
<td>.COM;<br>.EXE;<br>.BAT;<br>.CMD;<br>.VBS;<br>.VBE;<br>.JS;<br>.JSE;<br>.WSF;<br>.WSH;<br>.MSC</td>
<td>.COM;<br>.EXE;<br>.BAT;<br>.CMD;<br>.VBS;<br>.VBE;<br>.JS;<br>.JSE;<br>.WSF;<br>.WSH;<br>.MSC</td>
<td>.COM;<br>.EXE;<br>.BAT;<br>.CMD;<br>.VBS;<br>.VBE;<br>.JS;<br>.JSE;<br>.WSF;<br>.WSH;<br>.MSC</td>
<td>.COM;<br>.EXE;<br>.BAT;<br>.CMD;<br>.VBS;<br>.VBE;<br>.JS;<br>.JSE;<br>.WSF;<br>.WSH;<br>.MSC</td>
</tr>
<tr>
<td>Path</td>
<td>C:\Xilinx\13.2\ISE_DS\ISE\\lib\nt64;<br>C:\Xilinx\13.2\ISE_DS\ISE\\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\PlanAhead\bin;<br>C:\Xilinx\13.2\ISE_DS\ISE\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\ISE\lib\nt64;<br>C:\Xilinx\13.2\ISE_DS\EDK\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\EDK\lib\nt64;<br>C:\Xilinx\13.2\ISE_DS\EDK\gnu\microblaze\nt64\bin;<br>C:\Xilinx\13.2\ISE_DS\EDK\gnu\powerpc-eabi\nt64\bin;<br>C:\Xilinx\13.2\ISE_DS\EDK\gnuwin\bin;<br>C:\Xilinx\13.2\ISE_DS\common\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\common\lib\nt64;<br>C:\Program Files (x86)\Atmel\AVR Tools\AVR Toolchain\bin;<br>C:\Windows\system32;<br>C:\Windows;<br>C:\Windows\System32\Wbem;<br>C:\Windows\System32\WindowsPowerShell\v1.0\;<br>C:\Program Files (x86)\Java\jdk1.6.0_26\bin;<br>c:\python27;<br>C:\Python27\Scripts;<br>C:\Program Files\SlikSvn\bin\;<br>C:\Program Files (x86)\GnuWin32\bin;<br>C:\Program Files\Vim\vim73;<br>c:\Program Files (x86)\Microsoft SQL Server\100\Tools\Binn\;<br>c:\Program Files\Microsoft SQL Server\100\Tools\Binn\;<br>c:\Program Files\Microsoft SQL Server\100\DTS\Binn\;<br>c:\Program Files\TortoiseSVN\bin</td>
<td>C:\Xilinx\13.2\ISE_DS\ISE\\lib\nt64;<br>C:\Xilinx\13.2\ISE_DS\ISE\\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\PlanAhead\bin;<br>C:\Xilinx\13.2\ISE_DS\ISE\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\ISE\lib\nt64;<br>C:\Xilinx\13.2\ISE_DS\EDK\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\EDK\lib\nt64;<br>C:\Xilinx\13.2\ISE_DS\EDK\gnu\microblaze\nt64\bin;<br>C:\Xilinx\13.2\ISE_DS\EDK\gnu\powerpc-eabi\nt64\bin;<br>C:\Xilinx\13.2\ISE_DS\EDK\gnuwin\bin;<br>C:\Xilinx\13.2\ISE_DS\common\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\common\lib\nt64;<br>C:\Program Files (x86)\Atmel\AVR Tools\AVR Toolchain\bin;<br>C:\Windows\system32;<br>C:\Windows;<br>C:\Windows\System32\Wbem;<br>C:\Windows\System32\WindowsPowerShell\v1.0\;<br>C:\Program Files (x86)\Java\jdk1.6.0_26\bin;<br>c:\python27;<br>C:\Python27\Scripts;<br>C:\Program Files\SlikSvn\bin\;<br>C:\Program Files (x86)\GnuWin32\bin;<br>C:\Program Files\Vim\vim73;<br>c:\Program Files (x86)\Microsoft SQL Server\100\Tools\Binn\;<br>c:\Program Files\Microsoft SQL Server\100\Tools\Binn\;<br>c:\Program Files\Microsoft SQL Server\100\DTS\Binn\;<br>c:\Program Files\TortoiseSVN\bin</td>
<td>C:\Xilinx\13.2\ISE_DS\ISE\\lib\nt64;<br>C:\Xilinx\13.2\ISE_DS\ISE\\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\PlanAhead\bin;<br>C:\Xilinx\13.2\ISE_DS\ISE\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\ISE\lib\nt64;<br>C:\Xilinx\13.2\ISE_DS\EDK\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\EDK\lib\nt64;<br>C:\Xilinx\13.2\ISE_DS\EDK\gnu\microblaze\nt64\bin;<br>C:\Xilinx\13.2\ISE_DS\EDK\gnu\powerpc-eabi\nt64\bin;<br>C:\Xilinx\13.2\ISE_DS\EDK\gnuwin\bin;<br>C:\Xilinx\13.2\ISE_DS\common\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\common\lib\nt64;<br>C:\Program Files (x86)\Atmel\AVR Tools\AVR Toolchain\bin;<br>C:\Windows\system32;<br>C:\Windows;<br>C:\Windows\System32\Wbem;<br>C:\Windows\System32\WindowsPowerShell\v1.0\;<br>C:\Program Files (x86)\Java\jdk1.6.0_26\bin;<br>c:\python27;<br>C:\Python27\Scripts;<br>C:\Program Files\SlikSvn\bin\;<br>C:\Program Files (x86)\GnuWin32\bin;<br>C:\Program Files\Vim\vim73;<br>c:\Program Files (x86)\Microsoft SQL Server\100\Tools\Binn\;<br>c:\Program Files\Microsoft SQL Server\100\Tools\Binn\;<br>c:\Program Files\Microsoft SQL Server\100\DTS\Binn\;<br>c:\Program Files\TortoiseSVN\bin</td>
<td>C:\Xilinx\13.2\ISE_DS\ISE\\lib\nt64;<br>C:\Xilinx\13.2\ISE_DS\ISE\\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\PlanAhead\bin;<br>C:\Xilinx\13.2\ISE_DS\ISE\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\ISE\lib\nt64;<br>C:\Xilinx\13.2\ISE_DS\EDK\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\EDK\lib\nt64;<br>C:\Xilinx\13.2\ISE_DS\EDK\gnu\microblaze\nt64\bin;<br>C:\Xilinx\13.2\ISE_DS\EDK\gnu\powerpc-eabi\nt64\bin;<br>C:\Xilinx\13.2\ISE_DS\EDK\gnuwin\bin;<br>C:\Xilinx\13.2\ISE_DS\common\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\common\lib\nt64;<br>C:\Program Files (x86)\Atmel\AVR Tools\AVR Toolchain\bin;<br>C:\Windows\system32;<br>C:\Windows;<br>C:\Windows\System32\Wbem;<br>C:\Windows\System32\WindowsPowerShell\v1.0\;<br>C:\Program Files (x86)\Java\jdk1.6.0_26\bin;<br>c:\python27;<br>C:\Python27\Scripts;<br>C:\Program Files\SlikSvn\bin\;<br>C:\Program Files (x86)\GnuWin32\bin;<br>C:\Program Files\Vim\vim73;<br>c:\Program Files (x86)\Microsoft SQL Server\100\Tools\Binn\;<br>c:\Program Files\Microsoft SQL Server\100\Tools\Binn\;<br>c:\Program Files\Microsoft SQL Server\100\DTS\Binn\;<br>c:\Program Files\TortoiseSVN\bin</td>
</tr>
<tr>
<td>XILINX</td>
<td>C:\Xilinx\13.2\ISE_DS\ISE\</td>
<td>C:\Xilinx\13.2\ISE_DS\ISE\</td>
<td>C:\Xilinx\13.2\ISE_DS\ISE\</td>
<td>C:\Xilinx\13.2\ISE_DS\ISE\</td>
</tr>
<tr>
<td>XILINX_DSP</td>
<td>C:\Xilinx\13.2\ISE_DS\ISE</td>
<td>C:\Xilinx\13.2\ISE_DS\ISE</td>
<td>C:\Xilinx\13.2\ISE_DS\ISE</td>
<td>C:\Xilinx\13.2\ISE_DS\ISE</td>
</tr>
<tr>
<td>XILINX_EDK</td>
<td>C:\Xilinx\13.2\ISE_DS\EDK</td>
<td>C:\Xilinx\13.2\ISE_DS\EDK</td>
<td>C:\Xilinx\13.2\ISE_DS\EDK</td>
<td>C:\Xilinx\13.2\ISE_DS\EDK</td>
</tr>
<tr>
<td>XILINX_FOR_ALTIUM_OVERRIDE</td>
<td> </td>
<td> </td>
<td> </td>
<td> </td>
</tr>
<tr>
<td>XILINX_PLANAHEAD</td>
<td>C:\Xilinx\13.2\ISE_DS\PlanAhead</td>
<td>C:\Xilinx\13.2\ISE_DS\PlanAhead</td>
<td>C:\Xilinx\13.2\ISE_DS\PlanAhead</td>
<td>C:\Xilinx\13.2\ISE_DS\PlanAhead</td>
</tr>
</TABLE>
<A NAME="Synthesis Property Settings"></A>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='4'><B>Synthesis Property Settings </B></TD>
</tr>
<tr bgcolor='#ffff99'>
<td><b>Switch Name</b></td>
<td><b>Property Name</b></td>
<td><b>Value</b></td>
<td><b>Default Value</b></td>
</tr>
<tr>
<td>-ifn</td>
<td>&nbsp;</td>
<td>spiwrap.prj</td>
<td>&nbsp;</td>
</tr>
<tr>
<td>-ifmt</td>
<td>&nbsp;</td>
<td>mixed</td>
<td>Mixed</td>
</tr>
<tr>
<td>-ofn</td>
<td>&nbsp;</td>
<td>spiwrap</td>
<td>&nbsp;</td>
</tr>
<tr>
<td>-ofmt</td>
<td>&nbsp;</td>
<td>NGC</td>
<td>NGC</td>
</tr>
<tr>
<td>-p</td>
<td>&nbsp;</td>
<td>xc6slx45-2-csg324</td>
<td>&nbsp;</td>
</tr>
<tr>
<td>-top</td>
<td>&nbsp;</td>
<td>spiwrap</td>
<td>&nbsp;</td>
</tr>
<tr>
<td>-opt_mode</td>
<td>Optimization Goal</td>
<td>Speed</td>
<td>Speed</td>
</tr>
<tr>
<td>-opt_level</td>
<td>Optimization Effort</td>
<td>1</td>
<td>1</td>
</tr>
<tr>
<td>-power</td>
<td>Power Reduction</td>
<td>NO</td>
<td>No</td>
</tr>
<tr>
<td>-iuc</td>
<td>Use synthesis Constraints File</td>
<td>NO</td>
<td>No</td>
</tr>
<tr>
<td>-keep_hierarchy</td>
<td>Keep Hierarchy</td>
<td>No</td>
<td>No</td>
</tr>
<tr>
<td>-netlist_hierarchy</td>
<td>Netlist Hierarchy</td>
<td>As_Optimized</td>
<td>As_Optimized</td>
</tr>
<tr>
<td>-rtlview</td>
<td>Generate RTL Schematic</td>
<td>Yes</td>
<td>No</td>
</tr>
<tr>
<td>-glob_opt</td>
<td>Global Optimization Goal</td>
<td>AllClockNets</td>
<td>AllClockNets</td>
</tr>
<tr>
<td>-read_cores</td>
<td>Read Cores</td>
<td>YES</td>
<td>Yes</td>
</tr>
<tr>
<td>-sd</td>
<td>Cores Search Directories</td>
<td>{&quot;ipcore_dir&quot; }</td>
<td>&nbsp;</td>
</tr>
<tr>
<td>-write_timing_constraints</td>
<td>Write Timing Constraints</td>
<td>NO</td>
<td>No</td>
</tr>
<tr>
<td>-cross_clock_analysis</td>
<td>Cross Clock Analysis</td>
<td>NO</td>
<td>No</td>
</tr>
<tr>
<td>-bus_delimiter</td>
<td>Bus Delimiter</td>
<td>&lt;&gt;</td>
<td>&lt;&gt;</td>
</tr>
<tr>
<td>-slice_utilization_ratio</td>
<td>Slice Utilization Ratio</td>
<td>100</td>
<td>100</td>
</tr>
<tr>
<td>-bram_utilization_ratio</td>
<td>BRAM Utilization Ratio</td>
<td>100</td>
<td>100</td>
</tr>
<tr>
<td>-dsp_utilization_ratio</td>
<td>DSP Utilization Ratio</td>
<td>100</td>
<td>100</td>
</tr>
<tr>
<td>-reduce_control_sets</td>
<td>&nbsp;</td>
<td>Auto</td>
<td>Auto</td>
</tr>
<tr>
<td>-fsm_extract</td>
<td>&nbsp;</td>
<td>YES</td>
<td>Yes</td>
</tr>
<tr>
<td>-fsm_encoding</td>
<td>&nbsp;</td>
<td>Auto</td>
<td>Auto</td>
</tr>
<tr>
<td>-safe_implementation</td>
<td>&nbsp;</td>
<td>No</td>
<td>No</td>
</tr>
<tr>
<td>-fsm_style</td>
<td>&nbsp;</td>
<td>LUT</td>
<td>LUT</td>
</tr>
<tr>
<td>-ram_extract</td>
<td>&nbsp;</td>
<td>Yes</td>
<td>Yes</td>
</tr>
<tr>
<td>-ram_style</td>
<td>&nbsp;</td>
<td>Auto</td>
<td>Auto</td>
</tr>
<tr>
<td>-rom_extract</td>
<td>&nbsp;</td>
<td>Yes</td>
<td>Yes</td>
</tr>
<tr>
<td>-shreg_extract</td>
<td>&nbsp;</td>
<td>YES</td>
<td>Yes</td>
</tr>
<tr>
<td>-rom_style</td>
<td>&nbsp;</td>
<td>Auto</td>
<td>Auto</td>
</tr>
<tr>
<td>-auto_bram_packing</td>
<td>&nbsp;</td>
<td>NO</td>
<td>No</td>
</tr>
<tr>
<td>-resource_sharing</td>
<td>&nbsp;</td>
<td>YES</td>
<td>Yes</td>
</tr>
<tr>
<td>-async_to_sync</td>
<td>&nbsp;</td>
<td>NO</td>
<td>No</td>
</tr>
<tr>
<td>-use_dsp48</td>
<td>&nbsp;</td>
<td>Auto</td>
<td>Auto</td>
</tr>
<tr>
<td>-iobuf</td>
<td>&nbsp;</td>
<td>YES</td>
<td>Yes</td>
</tr>
<tr>
<td>-max_fanout</td>
<td>&nbsp;</td>
<td>100000</td>
<td>100000</td>
</tr>
<tr>
<td>-bufg</td>
<td>&nbsp;</td>
<td>16</td>
<td>16</td>
</tr>
<tr>
<td>-register_duplication</td>
<td>&nbsp;</td>
<td>YES</td>
<td>Yes</td>
</tr>
<tr>
<td>-register_balancing</td>
<td>&nbsp;</td>
<td>No</td>
<td>No</td>
</tr>
<tr>
<td>-optimize_primitives</td>
<td>&nbsp;</td>
<td>NO</td>
<td>No</td>
</tr>
<tr>
<td>-use_clock_enable</td>
<td>&nbsp;</td>
<td>Auto</td>
<td>Auto</td>
</tr>
<tr>
<td>-use_sync_set</td>
<td>&nbsp;</td>
<td>Auto</td>
<td>Auto</td>
</tr>
<tr>
<td>-use_sync_reset</td>
<td>&nbsp;</td>
<td>Auto</td>
<td>Auto</td>
</tr>
<tr>
<td>-iob</td>
<td>&nbsp;</td>
<td>Auto</td>
<td>Auto</td>
</tr>
<tr>
<td>-equivalent_register_removal</td>
<td>&nbsp;</td>
<td>YES</td>
<td>Yes</td>
</tr>
<tr>
<td>-slice_utilization_ratio_maxmargin</td>
<td>&nbsp;</td>
<td>5</td>
<td>0</td>
</tr>
</TABLE>
<A NAME="Translation Property Settings"></A>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='4'><B>Translation Property Settings </B></TD>
</tr>
<tr bgcolor='#ffff99'>
<td><b>Switch Name</b></td>
<td><b>Property Name</b></td>
<td><b>Value</b></td>
<td><b>Default Value</b></td>
</tr>
<tr>
<td>-intstyle</td>
<td>&nbsp;</td>
<td>ise</td>
<td>None</td>
</tr>
<tr>
<td>-dd</td>
<td>&nbsp;</td>
<td>_ngo</td>
<td>None</td>
</tr>
<tr>
<td>-p</td>
<td>&nbsp;</td>
<td>xc6slx45-csg324-2</td>
<td>None</td>
</tr>
<tr>
<td>-sd</td>
<td>Macro Search Path</td>
<td>ipcore_dir</td>
<td>None</td>
</tr>
<tr>
<td>-uc</td>
<td>&nbsp;</td>
<td>C:/Users/mjlyons/workspace/vSPI/projnav/ucf/atlys/spiwrap.ucf</td>
<td>None</td>
</tr>
</TABLE>
<A NAME="Map Property Settings"></A>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='4'><B>Map Property Settings </B></TD>
</tr>
<tr bgcolor='#ffff99'>
<td><b>Switch Name</b></td>
<td><b>Property Name</b></td>
<td><b>Value</b></td>
<td><b>Default Value</b></td>
</tr>
<tr>
<td>-ol</td>
<td>Place & Route Effort Level (Overall)</td>
<td>high</td>
<td>high</td>
</tr>
<tr>
<td>-xt</td>
<td>Extra Cost Tables</td>
<td>0</td>
<td>0</td>
</tr>
<tr>
<td>-ir</td>
<td>Use RLOC Constraints</td>
<td>OFF</td>
<td>OFF</td>
</tr>
<tr>
<td>-t</td>
<td>Starting Placer Cost Table (1-100) Map</td>
<td>1</td>
<td>0</td>
</tr>
<tr>
<td>-r</td>
<td>Register Ordering</td>
<td>4</td>
<td>4</td>
</tr>
<tr>
<td>-intstyle</td>
<td>&nbsp;</td>
<td>ise</td>
<td>None</td>
</tr>
<tr>
<td>-lc</td>
<td>LUT Combining</td>
<td>off</td>
<td>off</td>
</tr>
<tr>
<td>-o</td>
<td>&nbsp;</td>
<td>spiwrap_map.ncd</td>
<td>None</td>
</tr>
<tr>
<td>-w</td>
<td>&nbsp;</td>
<td>true</td>
<td>false</td>
</tr>
<tr>
<td>-pr</td>
<td>Pack I/O Registers/Latches into IOBs</td>
<td>off</td>
<td>off</td>
</tr>
<tr>
<td>-p</td>
<td>&nbsp;</td>
<td>xc6slx45-csg324-2</td>
<td>None</td>
</tr>
</TABLE>
<A NAME="Place and Route Property Settings"></A>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='4'><B>Place and Route Property Settings </B></TD>
</tr>
<tr bgcolor='#ffff99'>
<td><b>Switch Name</b></td>
<td><b>Property Name</b></td>
<td><b>Value</b></td>
<td><b>Default Value</b></td>
</tr>
<tr>
<td>-intstyle</td>
<td>&nbsp;</td>
<td>ise</td>
<td>&nbsp;</td>
</tr>
<tr>
<td>-mt</td>
<td>Enable Multi-Threading</td>
<td>off</td>
<td>off</td>
</tr>
<tr>
<td>-ol</td>
<td>Place & Route Effort Level (Overall)</td>
<td>high</td>
<td>std</td>
</tr>
<tr>
<td>-w</td>
<td>&nbsp;</td>
<td>true</td>
<td>false</td>
</tr>
</TABLE>
<A NAME="Operating System Information"></A>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='5'><B> Operating System Information </B></TD>
</tr>
<tr bgcolor='#ffff99'>
<td><b>Operating System Information</b></td>
<td><b>xst</b></td>
<td><b>ngdbuild</b></td>
<td><b>map</b></td>
<td><b>par</b></td>
</tr>
<tr>
<td>CPU Architecture/Speed</td>
<td>Intel(R) Core(TM) i5-2400S CPU @ 2.50GHz/2499 MHz</td>
<td>Intel(R) Core(TM) i5-2400S CPU @ 2.50GHz/2499 MHz</td>
<td>Intel(R) Core(TM) i5-2400S CPU @ 2.50GHz/2499 MHz</td>
<td>Intel(R) Core(TM) i5-2400S CPU @ 2.50GHz/2499 MHz</td>
</tr>
<tr>
<td>Host</td>
<td>WIN-MEQROG0RPAS</td>
<td>WIN-MEQROG0RPAS</td>
<td>WIN-MEQROG0RPAS</td>
<td>WIN-MEQROG0RPAS</td>
</tr>
<tr>
<td>OS Name</td>
<td>Microsoft Windows 7 , 64-bit</td>
<td>Microsoft Windows 7 , 64-bit</td>
<td>Microsoft Windows 7 , 64-bit</td>
<td>Microsoft Windows 7 , 64-bit</td>
</tr>
<tr>
<td>OS Release</td>
<td>Service Pack 1 (build 7601)</td>
<td>Service Pack 1 (build 7601)</td>
<td>Service Pack 1 (build 7601)</td>
<td>Service Pack 1 (build 7601)</td>
</tr>
</TABLE>
</BODY> </HTML>
\ No newline at end of file
......@@ -2,7 +2,7 @@
<BODY TEXT='#000000' BGCOLOR='#FFFFFF' LINK='#0000EE' VLINK='#551A8B' ALINK='#FF0000'>
<TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='4'><B>spiifc Project Status</B></TD></TR>
<TD ALIGN=CENTER COLSPAN='4'><B>spiwrap Project Status</B></TD></TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Project File:</B></TD>
<TD>spiifc.xise</TD>
......@@ -10,7 +10,7 @@
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Module Name:</B></TD>
<TD>spiifc</TD>
<TD>spiwrap</TD>
<TD BGCOLOR='#FFFF99'><B>Implementation State:</B></TD>
<TD>New</TD>
</TR>
......@@ -74,5 +74,5 @@
</TABLE>
<br><center><b>Date Generated:</b> 03/07/2012 - 09:22:39</center>
<br><center><b>Date Generated:</b> 03/07/2012 - 16:13:02</center>
</BODY></HTML>
\ No newline at end of file
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6
###5160:XlxV32DM 3ff2 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###3160:XlxV32DM 3fef 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###5016:XlxV32DM 3fff 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###5200:XlxV32DM 3fff 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###4788:XlxV32DM 3fff 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###6120:XlxV32DM 3fff 17d0eNqlW82S5CgOfpl9AH6MgczoV6kIA3bEXHYOfayYd18kfQIyN7O6uufQLafAEvpBEsK1xXIzN/f5w9tys+dx/zji/Z+f3jZFt47Odx7fQh/aoptDId0/SmWsX7CxYwtjw4LdO/Zg7LZgQ8dmwpq4YLeOTYytC9Z3LC1vs3au2vk++Th51WNyXVa9M899DtGqc2NsXLB91VlkyQu2rzqLLGnB9lVnlsX4BdsXkkWWsmD7qnOX5Z+yuf1mb/a4pb66z/86E27+vO7/sZe7+XT/j0vtVsL9r0D66YCU1wfrze323n/v83ek33H+3uh3mr/9/f43C3f/iy0MdOvTYh2/o6HfbY6f9Pucvwv9vubv2skmWV3Ok0zo0/Ixf3v6PdlGWm1e2O70uzGZwwhgon8flX+VKUmiJZaFFy2xTF6JNFMWXhf9ZjJ/W0Oi3IsPNJ+0Zz5Z2W5P9//a67gdB2nf37YD6s/uhfptN9+qfpvbg/ptLg/qt901OveTVn3vZt9Ixm1ln4X9VsA+FbAPUyNeVLGzKM5ZIeb38CRLtJAlqCz5SZZ1keFJiEWo+iRU/loo+yRUdBDq+AOh9mehCoTafiHUcf/aUvWdpR5k2Z5lqZAl/4Es8UmW5CGL/00D5V/IcryUJTzJkjbIkn5Xlp8+0XD4/EEufJj7D7K6wA0wEPzo//75aeuJqNd9lGJs34s9Fvo4sRyUiUjhoBy25YUefiNF8c0hpNK8vpT7R5egr2U3Onlf4q/bFuyI1c4thDs2UrT34VqwnUKkaO+3vFAYEdynicWqN111WF7QpGHrtWA1wdiqGamHIMIGTmp+m1gQNyCuiZcwLHzkfLylZel9kbGwQOdCva8kcHIMdsF2MUNgCnHBdgphY3br+rqiAi/CRV1EkEX0SEr4/VrcwcMdPNzBwx16ujuYth+KjSplECltM3No1hBuX7CjWggrVisLv9sFqzWE344FO2oIF1ScTcQ5KqdjMm3fqe62IxlfBsk4j2T8d5AdEiSZYrtxhfCYKttTqux5qnhH0/2LxLNrkOz6mLHggcfY0t4dT1Q05O/lHZU3eakuIfzntqtmnbjhR8wPW8ody64MZsGOzb3rXnBJSASKBNtWJ3q6/diVhIW7khnasxkszHC8NgM07J5qnnB/NMyjPRrbI7yzR/6FJvMXmux03RsL9aT4tZ2noVbDaPXd6S6G8W6iXxnGrYbRutSF14YJi2HsuWCHYVwJVK5uPZvYoKZxME1ZytXwVId+UafaVzaLD3vqeCg3vypfpVxtT+XsF+UrVTBZlJ/TrGJRvKJmRak6K1QuB4s7aTC8KiIvzet+sXF42oVfVI/pK98iKzz5LKrH9LLQel2VuIPUEl956Cgbz2X1/qnK+KJKqb+Q7nnnpAfpuOKPLyq/OCq/9rSuxwodzN9Fz5+iv+7cZMCR4pxLC1aTpKvIKrSskcTd0SaW91KOjK4LCc2+LiEFEd+RC13dFsJaM7iyL1gtPVxJCxaHx77grNhzbnN3XBM7I4U792WyRgpXzoWwVlCulAWrFZT4iwqngUL2ALCc0klKTunujFPuWbi4eiwr6cJE5lnjgtVyxmlVwFitz1xtCxa1XA+5KXLWsDNreISm+v9ZY3s4GX8Zsdy7iNXTR0pvzpGhwVmr+94x5Tkk5HchYfXkS8N0mvnzp29xwaqlSD0DO+P/cHuerFWgT37BjopxtEEIi41DTQxDWaGj+jJV9RtU356aGDPKP+jc/XE341WWODVLPCaH46m3Ue9vCraZE46nFsUXLYxDWxhLC+SxlyEh+KFX4Z56Faf2KmYvo58ft3fNivqL82P9xfnxN7sW/l3Xwvz+oZgPki/TT9QC6Xqdfur9Wx2Z4xvnfDkvhRfJJqtI9Zsp/Pko3r5SpU/PvRJtCXQ3g/Rh4fsbyfShoLdFz6CdIW96OVOOHmVHj9OWmIRPRX6e4eWIp9hxlBv9VqIwTmajy0nYcTK7xuH2nFnGX3HBapbxo/sczpkW/WkXrGbhef4P55qFZ1A0M9UtQdHMbOmTm9iZLZfwZ2besWUlPI7cMyia2VnYnJ1aW5LfjLZmJr/NjwPtpudij3PxaBf0oRnLx9GdsCNoj8M/YTVoU3Se2HGgD37BLiev/HzyCgjk55cnL/u9kxcduM53B672iwPXl0Wx9+bdkfj89sH6J8kvavHm4QB8TPSLc5Y36zlLTezO1+escz0AL5NXM9RnM+www/VlH2Jmy283JOw7e0Tz+wfVbt53Zoj233UmhvoeDOPNRL86AD8YZlzBvDaMfTDMuWAXwxzPholiGEqBf9wgom1R322L+ht9If+u67Bf39X+g9KH2z90HVyd6Fe74aHroJHK1de7oa5K3xfsULptFJQ8/VOlJyjdfnM39NrlnZvn0Y+J3+/H0JIQ3ldf8mVBz2hd4oJdfMk9i5Uhlvval7q3bO+8Jf1OsBvdo+21bba1I7Rip236+fhJiANC+CnEy0ywbdcbZ83HL0yyWqKORHutGVxzNaFnup+WuIbnUjVG4bb0eqyoEAVCbIsQuDyVBeDOM6X3d5Z8YB53jn9Zw3L/5dIuRsz2uX2EcjpooyO175XTSrOLkt1zQYtLuOD/BVH/TBS3YcH9C6LbE1G9lgr2T4mivgrdS7tyO/b+gxSCB68PGz980H+LTxC+75qPbicuAetELzceXptHRI+mHw/3IMSX7j2IrUAPuM3i2acyaYzrB5SoSsSAiAERAyJal/uiu7fPooUkOeUfEzuvyrao/ePMN20fUSbniR1FKmlRVPLRH+4/6Jc9uV2E+V1z1OjpvwS/JdmDH/2h16581GB8rMB3f/lBv4DfT+B7CfKDfoH+FUC/V50/6JfiveI94f3AO8U7wruBt4q3hLcDbxRvCG8Uf17An1fHn9fAn4o/CT/WeTbFN8K3Ie+h8h4k7wG8507Y1vXcSQfyhg4jfYxy5FsPQV3/fBqgmUFnJswMdCCWNzfMZB86MpyFRgJoHqDZBCZ9g7s3B1+p9ze6x/dwKG/uMlMh8yJY8SZvEd51O3hhRg+qMrN0WLdbrgKPiDe5FcWareBZwTNhtU0gr5IoelCktfUDUKbxqwkkDhmUExnvHBCFBnGytsf23dKXDx0agZHs7ESXB/Hqa2HYeTOsu8DOmyCdbfl35y3jm8DOm8d7dSLzTvzeAWWcQofs85Oi0QFrkoY7ZA2TNgq0UCD9BqkLpFa9qrQbpJUDcVsos3+0SfkE5QrKAZQzKGdQLqAcQJnPonwiPj9lGycnixYH6ywSWMB0zIpYRLA4BJYEVofAEsHyEDWSSZm1B2s+SWfabkmcJcBQvNgOC5wmeTFYAEWFEe7HHQcr95hOnOGMd4EB0Au0xsjDdQI2wISJDhPteLDiV2cC3AE3QC9QKHVYgcfvE++DohGK3kTWLLXjAyBtAoKsqQ5Lp0Stcx7vkMc75PEOebwZbK4E2MZGZ0gWaONKImOvQAaCxIlcmCgybOLSLhXdQwl7R/YG32lsI/Na88k0ONESEXnoVOSBUsnwKVhYknI3qTx0b5KHGFgoWjTytlIpQXy2QxYribvQ/uMImOoNuV1vPXjj7QinnPr4mk99LcCr4WscysjnMnwtwNcANeSVWWm2T7Yiy2SwuQ2818B7TcF4wXiR8bONkMj7gzgg5GkA77n9B1W6UsXyWVjXjk3Pb9KaC9aMwKWQdyrZH/tFvoPgsuD6lNwshmmiwxJlUUS6gXQF6SSQF0mbPcomD9j0ykrmbcP16H2KnbzEbiMxRNSih6KyQTQ2iMYG0dcgbFBOsZay0bGL9zo3dvOmDwOj29ZYeXCkJXnI+pD0AVEiYErAjB3BYUdw2PHCjvkX5l+Yfyl+B0TUubC8C6u7sLgLa7sQjKgEYQi+ZwUsgOB3gt+pwQr8TuUDOhfev/R9yHFaVU7Sh6gPuz5g7c7t+rDpA4YaltOwnAYiDW80nYcXG8RvEL9hGQ3iVyy7QvyK5Vcsv4JfBb+K1VfwreBbwbeCbwXfE3wa+DTwaVBLA78Gfk3pY7xi3RWRHP5n1P+M+p9R/zPqf0b9z6j/GfU/o/5n4H8G/mfgfwb+Z+B/Bv5n4H8G/mfgfwb+Z+B/Bv5n4H8G/mfgfwb+Z+B/Bv5n4H8G/mfgfwb+Z5BdDbKr0axq4IAG2dXAAQ2yq0F2NeqARh3QqAMadUCjDmjUAY06oIEDGjiggQMaOKCBAxo4oIEDGjiggQMaOKCBAxo4oIEDGjiggQMaOKCBAxo4oIEDGjiggQMaOKCBAxo4oIEDGjiggQMaOKCBAxo4oIEDGnZASi0pCTxOgXR8lRyDnJMAZUKRCdu+8QSCCVBOsnqdIQGAmMZye/zeXYYqho71s3kZKhiq63f2MnRgiC9J+GLTy1CAHgTql5X80ZLOgAYE9nxjxpdROgO6E9hu0q7kdKkzoFWBVWeUOWOH/QRW5XIsM2BZgYfSyMsM2Fxg1hlpmQFvEJiVS1xmwM4CD52xLzPguQJ35RKWGfA1gbvScIs+4IUCncyQzy4CaECnOwpMyvoEE6q/5ZMMfQM6JsglKUpAOp/wG218BqJvYE8R5GIWkFou8sr8RkRf0fCYUQcXVK0Vb5TxkQ3eiDBKRH0bUTmrIPIBDrcT9Q0YiSDX3ihqVRCppbn+0zdgNIJctR8ChyDLBzb6CqxIkAv+gjIcgsjHNyUtb8CqBPmogCPCECSNL4lUWbDyjkNFQKk/BMnjs6gTZVU+tS5q+lD1oWjKOvWh6UPVB5XCwCwGhwmD+h11/PCJc/3jIIn9iD2PfyEkQ4g98mdC+odGMoTYg782cusQYo98Wsz97A1lu5dTPK2KYIzYDXH0+hNmBhT4DQU+Cn0yNBf4O4JvQ4Hfx4lAkM/hjWiYKe2gVEHpAKUMSgGUKiiBQzkkPvJadzkqEBSrbLISOkqgWueoYJtdOEdwRsbIWEHBoUTGC8YLxlmlwjmCMyK1GH2T94kzmgMcwaWpaTNYI1nxkcDgaGFwtDAF4wXjBeNFjg5yAy6skcIyhOdxa1lpBOl8RZDLrA61H8FKpyNKQysJBwvml8U9+TiZcJy8BPI6qK1jHj6nJ0ngMrhrd9OpuAfo5JhEMG0IwvHhDx0ohcP98McO26TB1WEfYeNSm6ki1J8Pf3ZA6T9iJreHxl/O0QjMerhxxQbqBe8UrJSjFOea+PCZPVcVGXPLuLZmKgWbp2LzVGyerETGSgp0VTHCd+qgIbqq0FWFrrLKmSaNBG7QVZ00RFcVuqrQ1aE08qQhuqqqq7KMwMWhq3NSZ11ZCZ0ERUrIIvd+BTPho9yS2KVbwL6a4avozAS0dbUdx75Jx2U0UKk849CRxjXXDg6gxN6+S++LOSA00Dh3Czg8hmPKJ2aUK229Pd8+hZiHYE2gmEAVHYoG0k3MSDPP8Qk6aLAZreQw7o5qHSY06qSRwE1KRHtOGmxGK6mTIJyyqT+5SeSATeCTRsuaDZ5t4JNceizfvNAMODT61PbQz2MpZ2kp4XhEP1clDCoacQ/9VEka/U6Si/yxrF6syN2AQ78jrhc0cp2AofRwLSQ3EBiSqyG9jZJLCwzhRirp57B80eHgPcJMv9nluxEMMTM3KNI1DrV+uMeX+BYi6TfEfNWC12QhWb/I5dsZDOGPgaJexdKNTnJovTNJ+V6MG9ZobZ8Ne8rCXay4C0VrNKoLWt/aqOb5UU4yZLoy/972BOUKygn5YEc+ACdxUCspizgVtLC0T32AYwXHhONKRumAvCAlBEeOJpwjOEvya5IsiWMTmHCxkLGCwTGDIzriRc9O4KyeLH3tBudhClijeFzUCHQ2yWonGnHUqD2hDY8siMsN7kKSr5ygdCL76a5pyH51fBO3Q1qVEqsPBqvPoBBAoY4P8rK8WSB/gD5Yb1eTcucSPfKNACiznpIULUyRXX7DoY8o8o0AUVab8bd6TaM6ya9+1tbvoNnjNN+b8VUdtbB5gXAhLgFoKu7pYkYSw01QRLrJGllxMxQRAxVfkMoDbowiUnrWxArXktu98Wfykb+ml7soMz6mJDOQ2+KuSA2b0YktuL0bBjzGhTHMwKYvqEKp9Y1kVP4HtHOCYA==###3020:XlxV32DM 3fff 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###2540:XlxV32DM 3fff 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###2312:XlxV32DM 3fff 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###1420:XlxV32DM 3fff 574eNq9Wm124zAIvJIQ6MPn2U3vf4SVnW7rvkYBNDz9aF8bWwwMaIRjhHNKJQvJX8lMXCWXJqXk8iEih9D4rKc0Pr3uKSxHESk8rpfOmUs5xm+53fEhf+RD2lg77pXHsNrGZ+OvIgasBmLRuMuOVkG04wsrqVgFxOLzbjOagGj3rOloDGfNw2RGmXRgERxZcqAluEbsaAdcIdWMhSqIcDdjNTgue31UOF/2uAocl702BK56e20wzKEdK4edZOpeDjzHNCxdNbr5ZJmemePTMlbx+E0gXrGe0SWZ6qMr2iEOtBwYW1LRcCabAy0FMsnTOmlnhcBZKzdl1LAyXP/JjIVmjBxYCebwO180rQ4a9SHnNTg2duIlmEuDbn3hZbwmXWgZ3m2+6NDsZZNOfuOh2TPs7+t8O+sKr8zDgYZGZsfKhi5c2+MetA7XSHOgNXh/e2KrKJoDq6D16MCSwI5ER4O7LQdWDux+dDQKPE11tATvNHuNEKwi7FBjglVEHHkjWEXq7RzV0WAVMfVA/9EkUP11tByoxxraEaaQGhJ+plUzVgtULA1LAhVEw+LAHa3WIYxlPKnHMy8e2b06dLTIJ1FS0SKZ1NGcJ9poO7LF/vjhYSvDeTJ0ObfvsPJCNMkcDYU9QWjvsDjgua850PC+ppvR8FOEHEziJwk7sFrg3tXRaqAu6WglUHN1NIGZ9FQkB57KOloO7Ot1NFxJPFWCf/tXHW/68SckDxqqJLETE33rxETfODHRt05M9K0TE33rxETfODHRt05M9I0TE33jxETfODHRN05M9I0TE33jxETfODHRN05M9G0TEz10YoKHhVL69XTXrxo+PnvX25WfKOP/c2ed/J/XcumAnXJxe15jyE69ojmvyRs7VbXDF9Z5jSA7Nn5qED81iJ8WxE8L4qe5+bkq/5eNJnXsg8e1u2Y23q9ML1c+o1hZ+eTx98r6BmeWVauVJ6Y9/rocf12Ov4TEP6wULo2zPGOzx3ytPFeNH9Jjvn039lOh30dP9+gXbTTYAl950my84o4mUVXYp3zP6HJcPcAPXuKmTqumL9mjSeXnAK7ZxLU9RjLZuzw6r7/2acmjmT48n1YiWW+hjPFdhQL8ex/tM9+YMllsNNiCpkw8WbeqTBafNGWyxdUD/OBFbnhJmXhaKWvKZOMpheb/ele1YG+mKxxqjVz7liasR2exhdrTdPiYM/Z866h4Q66ON6v913Ex/hC55pCP853ppydkWPn+jBOTDXSHeBmpS/YqEKFHoxrMmN5b6JkrJj8iu7gjUJe81gjZtS/ZOxxZnJ3kYqjT95VQla6Lp98TrFWnxSe9M6CAzkC3UUz8WnWgmJgxq4ojulkGy5I/q32A7hGF+qN3EhTcBVCoAnj9O2K8+wdLPmSN###1948:XlxV32DM 3fff 784eNq9WtuS2yAM/SUuEtjb7+gHpBv7sW99yvTfC9jx4sSAQOrO7Ew2MQhJ5+gCGBZcwVhrZ6VghgUhfkcDGu644mSNRZzDcx2ee3Dh9yX9/wlr+D6DTbOijKkhQV3Owvjg4ndjYVDetXZgjag8FLVWN7QzCR+6PNvw3ibviWdJVyBw4sqWko2qg2OqYJca0gkKOmGTtW2doOnruEaSEJ8X9PAEGfX4QxInebacZXD0oPPGNmMDmnbphk4UGZ4twVpHkEHPQZokr66TaUQUza5JQA875BtXjPhpSJ4u1iMn4CclaqMmyatnnjGvQzHHz8Je96Ieo+USun4ta51AZnLszOQEMpMTzkxOIDM5gczkBDKT6+rNgKSTZGZyApnJdXbbekheKa9YUWm6K251wevSKHpReS0Lseyx0MC1Y88XeIQF65Cgj4cFwnMMc8MI3DXRhJn1GgckGdwI6fWIG5LnGBZK7kdRIPeiwL6IgD5bgu3wRSlbMOLxSh5bI9v0rG8i7Jp6+M7KyNepzTovUPE9+/SkL76R5BlytuiwbmyPX9JntL63NdKi+rQ7BC9c3X3nuZWsfrMsmiR5nNPk3p67zXkneprcKw9FraVVb8nTZPxv+XD0NJlWK5RwVPJrT/s0GQS6JhDI8E7AFiekx+BpcpJiM2Re9h8n3XrnqMs5jjqnchvBm10/jbICdzTIluFIt1ejflBUrAdmK9I9ztja77dAeR7g3fZBswebxdgz6nnNtoJyj41ET4xiOAtoMAlwkIMk5T7SESPpf+uBAnq0eaWE9eAxgxfpnsUuL4CqZ1cZ0vnFyJkk2Q+OlSUca21grQ2DVcazVvVizOVFELKsQAErUMAKEOAPL4aBXSFo96dWYK+jBfY6VmCvowVkWDa2fb1gT4bA8ry/f3/flfpQH/bxW6+3D5h//NHr/GF+/NTr9LGYR7DtdkgN2mIgtzWvtm3PtzvoMNoDYhgDEKzcuHLolVm+IiLEM4Xwa9L/FCHpM8pJ+trw36s/KyNfV8H15eyCMIf4psflLPTxDu18Z5DmweGxbQTa0u1ZRNuGJ4gTfL7Ozp8UZ8dnqWInlHWG8nRGWUmgbKoofw/GvQiP4BvnLOitAfN22vCFzzYi2lN+K1UxEN5mbwi7HWGTIXw7IbziI+R9LsK6irD/FoR9J8J+AGGfxa9uxq+unD4BOfcjK9rx4ILeuWAzLvw6ceG+SES7qnLBfAsXTCcXzAAXTEQaPhMXbJkLaUT5htWx0HUHus+KDQFd2NA19oSuhtvDzgHe64PTN/MiYQIFwh/tyn0fi9srK5ljnkbc39aMBIHziGs9enQGjJQlXmJuYzd4lj2wCQ3bbl/5goZycLjsaaImox6KUyHUgoxNQh5shaDVIWwhPrPndqwY/NIrJtriF21v+EJb/05bKFJg6zbwPS4rFDigxNiuP6kj5QhNcoQRBJu+YmtPsWzhUelVLGUHvvuVFC4ZvpsGS5qpDm1KvVWZDZsMU+mq/J5HdTHnZvISYV1G2OVMWL8+bHTwrbGYeX4Gh4VRTeUux12o6Drc4zK3Uo4yl0K+bFta1xk7dMYsUK+Jk1MuVYT3LVdxO4zVzddECZpqXp+YgT51hrlmprKB9VKA+CxA7ucAcfdngNAgz8l2DXheTnWi6Zb/VSWvbFlfvVSLIpFxwolAZUsm8vPN3vJZgDtGJDoeTZUpk363ymSktx2kH31/aD7X0aIMXoWbO6mo2FV8YMVE/ikj/+eZ/AiR/IpMfpXR2ZbJnzk/z+ctOrcKHr3UqkTW68MPm9HZP8t52i+UyzkeI96DtEnnKhWxp/WpyuDQGbOtMIVcM5PMA+slKs8ZlX+9dObTqTMvnpDnuTbvxdrNRt77lXs49/UO3VCz4S7ewcubDf9eTYqdrj/ZSp63j/0HgoirNQ==###2428:XlxV32DM 3fff 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###2444:XlxV32DM 3fff 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###2764:XlxV32DM 3fff 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###2740:XlxV32DM 3fff a9ceNq1W1uS3CoM3ZJBkrEn67gL6OnHZ/7y1ZW9X17GgHmIZlKpJDPuIyEJIR3AjS/c6YV3fKHCHUH/faIEAbQsiCD0Z9Gn9CKNIEQyPy0LSf3UaqANABTt+t+VI4kv+lT3gKT9Xxo0iPBc5hrP5znO/K7Ri4kKqWX5+/f3A+lr+YL3b/G6fW23X3/Ea/+Sv/4Tr+1LSPWGDW46jHdtzIZ3P9Sqh5Kwa+P1E22nHiTGROF/ERFqrAmRGRSvGopYDQSJh5wLm7QhkfiwOvQf67AN0PmJl3zkz0mR0s8p1phYpA6LEmSSSFpCWxSFdM9lg+Y8Aa1UK40aOptSrfRp6GRKRYmzFBPORUzWcOb3S8KtUcJtacKt4q3XqohSzk22DqAdzBi3abUiSblHKeWShIvki0iTNKinacmkVJByCLKIZwW9W7QKCIcu6wZvkWLp1uAwdbluGdCNpPZIJ3VNalsfh5P686raTeyJqtpN8OGqek3yflVVUZKvSZLD6/kGhKWb5LKb5MROcvNMG2dTS2RS4kxbiyCLKKOFT/I9RduaWUJTUlV7usFWdMG0RBz1n4HeLZZYWNdIVd9DPUMShZ89OKQ4nlrsnluDzwoWnZf28z5WsbHx530sDWB3NnYd8G0d8E0N+KYGfFMDvm0Dvm0Dvu0Dvu0Dvu0DvgldwWAIrQbQYsBD4RsRHz3ipSx4eQdxadEHGgPiaOgODUU0RWhXyWSEzhv6IbUG5IiUyqRq3BaDDts7DIO+6JnhuRz9M5yXo/8DDZ7bYkQPIo3n8xxX5MDbSQ8ee7bporcudLeIhxgSEDOcFSDhH7v+l3BNhyl9Xt5MmSCQ8mFC/fMSbbBWx30iNFerIS7fzh6/KSAd6s1rqG3oVIqtasQGqogxq9d6djA7EUUyw/gF0dHkkiPHOSttQhmSsoUWF54bvN4myxStddufmTpi9G5m/nhyJrKRsMm2R1wUk2STC5gNl+pyUehy0Y3NRbdoUyS7Gy6N0D+U0Y6LQkBQspmTxQ0XpLozdG0LBblFOrqlMZStCHtAcNDURJctsv1xWEoVZGRHhgKOLwOpTKHTtM9hoCHD37zCP9m6wr87Dvzpw8DhTestKhQy7UrfiykU2C0U2C0U4rIBrZcKhz2WKHSLBUQLoYyOl00PLZIF00fDAHofwFITmy8hb00uk9DQsswScE2CiLJCsIKWGXrI0D5BDhnaP5B3lA/jJXjqi5cc5L9fluB3RAxVRgzBEsNkGHcekw5zELNEeenzaKJiKuaIjtOPRzJhTgG1Fh0CzIhgTtdkjbaRsyWlgBrqUzfYgH1KeUVdMFr7qj/RVAjRWWtknP2BYrnkdGNU0an2CB2eR9gzLixSSGZRb0Watw2Rwq1BCjefaHedaN8u0Sit9aBeb52/5uInrhB4EqHmJnK91PdTsoYuNXuqaiFfo0S09S2PidGW1yAUrij01JU7EBSvo5YwohyXSzbm47Gkyx2DDJRXdST3MVu9vqut/BGXwejUZr3MMIyeB2srcr2ZObMhzoDS+DK9ysyky5ecqfTd2rhV9VBXjztQ2Byfsoc9ZU3AsIiOS9qGZxw9y+FZYs91hsrzcnoWeGISz1E9zq/Hxa9RPWhXic2qfF7S3O/GJ1yGF+dLfhjnudWElxoQMrCzlo9Dvus1h/RXOhTdRMpG9ehZ/axs7aHRM+pW0w9UoOPU5KEb5Ms1SEyZGK7b2xwndBtkrchsA866xOw3rnZhg3hC8wbZvBqmSyKWJcvn3HHReza3FnIg4UU0eqvYtz3DS0x6I1KTbPRnHlkzn/joRyy31pjecGevM2JYyKJLA37Kx1Iu4LQOYBWDFF2KH3YJiWAcecw0O7d2H+6sa4pWrBGtoCmis4Z2BxN63Ap07Q6m6MkW7KEJPRj0wNSMWd99nKGqBxk0Zw00B6boEgY9YooubYEuzWV0WDuTtAvDvIsJPfm8F+te6LBLo1L0K2asZbY6AYtu9bRgOBN4asrz9JQnfWkJl5uhPDKjPK2yrPy1jKw2TBkQnL08ZHv50hSogXBglYjI7kTKisY+Fen7SV0/R1i2CO8jyqHzC+HfTLwX6cf1LIZLsfix3MdsDcRFDvpYy4zZPMLOTvnBesVPDJHUmj9iqshymiuHfkBEP+bOWVSwCKfoR7ndj9MPjj3wMR0apx8y0A8xRRv2QBvWKdqwBtowd1ojAhGmqXa/hzjP6TlOa3gbll7FoMp5Td4/OJsuriR9XKHPq4RXdGe1JLRhf7ztMUl9RdhZ0HNK+YlpobrtjHuFCBvuPJcG+wjdi1TlAAPOeDi0tu1ZncF1ar0ldN/ElhYd27uL7f6dXtN8PwwlExklk42gQONKAphXEtA6/fCpVk6YPt2pvd/RXxbXtyDHx6kTDorf0Ey+mdS3SRXRENnU+rbRVt2NVGbXWydZvpRivXwoKQcslRG1hGYBNoVAHP+XykQJzywnvJHbOka9LlyAMjTE2NpJsGSeBNPFZu76pckrpPblmPwhPVw66lqEo6PQuGTrazr1yKlTLBH0iAZNom4b2Y7vD02RLVsJvJ6ps7DjK3tTlBYC9eut23aMIVBs+fEKsjpscxa6OSvfnLOvzj6VeV+ufkXELQ+165d6CygY3ExKXonFf1Bie+xahKmaOyT8md0ZRMsTJ8qFisqFmCqonEP4z1tZJ1f916Rl8w0V1bxYVI2rwmdDUlUt/R9mn70j###2648:XlxV32DM 3fff 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###2516:XlxV32DM 290e 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
\ No newline at end of file
###6024:XlxV32DM 3fff 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###4772:XlxV32DM 3fff 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###2372:XlxV32DM 3fff 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###2832:XlxV32DM 3fff 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###1596:XlxV32DM 1914 624eNqtWMGSpDYM/Zl8gG1JYNOXreSyx2zt5gNoGo5TNYfkQvW/RzZgGYMbmN6Z6h6Qn55kW0+YwQFrdAj86WlAAwhOKb7vkMhiRwNZMGDI8Xc2wlf73n4MgJQiwyNsDywANbNAasUBdH7PWBX46jji1v44kPZYic/jnAMhZ8ZXqd860ot5hZxJF2c4EBEyVhWzQjjI6kreK+ZlLqv15tXWfo1PxJW16AkzbsIONFJYne1+ThFSvyr6ERj/iRwmcMxYXnODGh+zFyZeGL08gwnXaQRVwOIGa1bZHGHNXH1AcApbr7Hz9T5Whzo+j6ZLaHcBbfI5HqCXWZpTaLeqklQTE0fwez4/wN0b1eD40T4auP3bdo25/dPeG443+glBe5rcb2/FpRQKsphUitiWMYF9o6lN3n4MgxzSpmaCkFRq5VYD+X3a1FCaU5gjR865XjSKkj9HUYcNDk80ODiV4Yum87X8V+ylhgehxbyOvW12kZeLtA6FDrtVAmkDC37Wyy8RCEQOMzfmNTaVHiSi2MPWO1gsYNft5RhNl9DuAtrszrGMrpPm/AqN0owEHdszXGhGEDnCdWhGHTcjCM3IPJJupPvWdyPD3SjVNK/IRtOOvwmrXSWvxl/Ud86bK3jhCPoK90mF8zeiZqye69UzoH/0stXND/rjyF/j3XhlB4wvxM0YfP824fgz7+TbEa8yrvrGvCN5ddWnIp9l2na57ZhUvfDv4fu54lWmFO2VEscpjPfYF7FVHJ+wy9FuT4FVcnwMaiUTsDkvLNgwTtkc5NUBk2fTI/NN7EVfP8a7M2v+ETUPKtV8FzSvM81PR8nfr/mc9zdq/lTU65xFnXwtXuJ9WpGnIl1hO6NIdSrqWaaStlXhhFIVtB30yieOPb3iou0w/lrbuKPtfj7JlPQ6jYf+WNBstXqpvqbZydePYdRsL5rtE81aPbLE28Lhlg/nZPmXiQ4Ot273GGF3lt0dvnTYw5cOHRpzMeeDl47gPc8LfHsLCzQ0urGjXxk9dbOH890Ms/epZQfu/gRFKvxThelZLVR4P9KFGjjLAPNTa8NAFaPgwBs3r5tX42P2j4pr8amogfMriG/Fd1/W0eQbdJSjL7FgZNFvsKiZBd7IZdrLiUW/wWKWM8EbuUx1PbG8sy5LrwNfASxlQm51emp1Zm51zw+rohVVtLYmWuPry/PjLlgQ7L0XbButWlfRrLvELGidoE2CtmK2dTSn1iFaK7E6waJYWx2tkrIeZCbaJOZOzAnHIPG0BDQEEhATsxUziLmSJUUJaR4JtyRoeplMn1gFLEtqBhetsqKAybZIPCCSeH1ibgUtc4EkaROze/73y4bt4l81/qS6cVXj+MnAz2wzQGMG3rfbd/582vZ21/7RwXCmGr9bd/vDYgPebiHYVbTbxthl+HnXYLfjbRz3P9++/TUC7yUNunNdq9jw50hjW8/ZQTfcPlt3e44tRJOeTXYyGX7wP5qB8yUx1mzsm44TMD3XKc+p6jm5iud2XyO9+8CL4yYzZ/D3+IMXU91+3BvFsbTyN59ahzvoO67kT5/04P9o/sM+/wPjh/0D
\ No newline at end of file
<?xml version="1.0" encoding="UTF-8"?>
<wave_config>
<wave_state>
</wave_state>
<db_ref_list>
<db_ref path="C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/spiifc_tb2_isim_beh.wdb" id="1" type="auto">
<top_modules>
<top_module name="glbl" />
<top_module name="spiifc_tb2" />
</top_modules>
</db_ref>
</db_ref_list>
<WVObjectSize size="23" />
<wvobject fp_name="/spiifc_tb2/SPI_MISO" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">SPI_MISO</obj_property>
<obj_property name="ObjectShortName">SPI_MISO</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb2/txMemAddr" type="array" db_ref_id="1">
<obj_property name="ElementShortName">txMemAddr[11:0]</obj_property>
<obj_property name="ObjectShortName">txMemAddr[11:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb2/rcMemAddr" type="array" db_ref_id="1">
<obj_property name="ElementShortName">rcMemAddr[11:0]</obj_property>
<obj_property name="ObjectShortName">rcMemAddr[11:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb2/rcMemData" type="array" db_ref_id="1">
<obj_property name="ElementShortName">rcMemData[7:0]</obj_property>
<obj_property name="ObjectShortName">rcMemData[7:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb2/rcMemWE" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">rcMemWE</obj_property>
<obj_property name="ObjectShortName">rcMemWE</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb2/debug_out" type="array" db_ref_id="1">
<obj_property name="ElementShortName">debug_out[7:0]</obj_property>
<obj_property name="ObjectShortName">debug_out[7:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb2/Reset" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">Reset</obj_property>
<obj_property name="ObjectShortName">Reset</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb2/SysClk" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">SysClk</obj_property>
<obj_property name="ObjectShortName">SysClk</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb2/SPI_CLK" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">SPI_CLK</obj_property>
<obj_property name="ObjectShortName">SPI_CLK</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb2/SPI_MOSI" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">SPI_MOSI</obj_property>
<obj_property name="ObjectShortName">SPI_MOSI</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb2/SPI_SS" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">SPI_SS</obj_property>
<obj_property name="ObjectShortName">SPI_SS</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb2/txMemData" type="array" db_ref_id="1">
<obj_property name="ElementShortName">txMemData[7:0]</obj_property>
<obj_property name="ObjectShortName">txMemData[7:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb2/SPI_CLK_en" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">SPI_CLK_en</obj_property>
<obj_property name="ObjectShortName">SPI_CLK_en</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb2/fdRcBytes" type="array" db_ref_id="1">
<obj_property name="ElementShortName">fdRcBytes[31:0]</obj_property>
<obj_property name="ObjectShortName">fdRcBytes[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb2/fdTxBytes" type="array" db_ref_id="1">
<obj_property name="ElementShortName">fdTxBytes[31:0]</obj_property>
<obj_property name="ObjectShortName">fdTxBytes[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb2/dummy" type="array" db_ref_id="1">
<obj_property name="ElementShortName">dummy[31:0]</obj_property>
<obj_property name="ObjectShortName">dummy[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb2/currRcByte" type="array" db_ref_id="1">
<obj_property name="ElementShortName">currRcByte[31:0]</obj_property>
<obj_property name="ObjectShortName">currRcByte[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb2/rcBytesNotEmpty" type="array" db_ref_id="1">
<obj_property name="ElementShortName">rcBytesNotEmpty[31:0]</obj_property>
<obj_property name="ObjectShortName">rcBytesNotEmpty[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb2/rcBytesStr" type="array" db_ref_id="1">
<obj_property name="ElementShortName">rcBytesStr[80:1]</obj_property>
<obj_property name="ObjectShortName">rcBytesStr[80:1]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb2/uut/rcByteValid" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">rcByteValid</obj_property>
<obj_property name="ObjectShortName">rcByteValid</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb2/uut/rcByte" type="array" db_ref_id="1">
<obj_property name="ElementShortName">rcByte[7:0]</obj_property>
<obj_property name="ObjectShortName">rcByte[7:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb2/uut/rcBitIndex" type="array" db_ref_id="1">
<obj_property name="ElementShortName">rcBitIndex[2:0]</obj_property>
<obj_property name="ObjectShortName">rcBitIndex[2:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb2/uut/state" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">state</obj_property>
<obj_property name="ObjectShortName">state</obj_property>
</wvobject>
</wave_config>
......@@ -3,9 +3,9 @@
<!--The data in this file is primarily intended for consumption by Xilinx tools.
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
<application name="impact" timeStamp="Tue Mar 06 15:24:19 2012">
<application name="impact" timeStamp="Wed Mar 07 14:31:40 2012">
<section name="Project Information" visible="false">
<property name="ProjectID" value="5ea5de4132cd436698ae1e90d83ce606"/>
<property name="ProjectID" value="da00646f0b4c4ac8baf5d51b1868f1e8"/>
<property name="ProjectIteration" value="1"/>
</section>
<section name="iMPACT Project Info" visible="true">
......@@ -27,10 +27,6 @@ This means code written to parse this file will need to be revisited each subseq
<item name="Boundary Scan Operations Statistics">
<property name="BSCAN Operation" value="Program -p 0
"/>
<property name="BSCAN Operation" value="Program -p 0
"/>
<property name="BSCAN Operation" value="Program -p 0
"/>
</item>
<item name="Cable Summary">
<property name="Cable Type" value="Platform Cable USB"/>
......
......@@ -71,13 +71,19 @@ output [7:0] debug_out;
//
// Registers
//
reg SPI_CLK_reg; // Stabalized version of SPI_CLK
reg SPI_CLK_reg1;
reg SPI_SS_reg; // Stabalized version of SPI_SS
reg SPI_SS_reg1;
reg SPI_MOSI_reg; // Stabalized version of SPI_MOSI
reg SPI_MOSI_reg1;
reg prev_spiClk; // Value of SPI_CLK during last SysClk cycle
reg prev_spiSS; // Value of SPI_SS during last SysClk cycle
reg [7:0] state_reg; // Register backing the 'state' wire
reg [7:0] rcByte_reg; // Register backing 'rcByte'
reg [2:0] rcBitIndex_reg; // Register backing 'rcBitIndex'
reg [AddrBits-1:0] rcMemAddr_reg; // Byte addr to write MOSI data to
reg [7:0] debug_reg; // register backing debug_out signal
//
// Wires
//
......@@ -88,30 +94,40 @@ wire rcByteValid; // rcByte is valid and new
wire [7:0] rcByte; // Byte received from master
wire [2:0] rcBitIndex; // Bit of rcByte to write to next
// Save buffered SPI inputs
always @(posedge SysClk) begin
SPI_CLK_reg1 <= SPI_CLK;
SPI_CLK_reg <= SPI_CLK_reg1;
SPI_SS_reg1 <= SPI_SS;
SPI_SS_reg <= SPI_SS_reg1;
SPI_MOSI_reg1 <= SPI_MOSI;
SPI_MOSI_reg <= SPI_MOSI_reg1;
end
// Detect new valid bit
always @(posedge SysClk) begin
prev_spiClk <= SPI_CLK;
prev_spiClk <= SPI_CLK_reg;
end
assign risingSpiClk = SPI_CLK & (~prev_spiClk);
assign validSpiBit = risingSpiClk & (~SPI_SS);
assign risingSpiClk = SPI_CLK_reg & (~prev_spiClk);
assign validSpiBit = risingSpiClk & (~SPI_SS_reg);
// Detect new SPI packet (SS dropped low)
always @(posedge SysClk) begin
prev_spiSS <= SPI_SS;
prev_spiSS <= SPI_SS_reg;
end
assign packetStart = prev_spiSS & (~SPI_SS);
assign packetStart = prev_spiSS & (~SPI_SS_reg);
// Build incoming byte
always @(posedge SysClk) begin
if (validSpiBit) begin
rcByte_reg[rcBitIndex] <= SPI_MOSI;
rcByte_reg[rcBitIndex] <= SPI_MOSI_reg;
rcBitIndex_reg <= (rcBitIndex > 0 ? rcBitIndex - 1 : 7);
end else begin
rcBitIndex_reg <= rcBitIndex;
end
end
assign rcBitIndex = (Reset || packetStart ? 7 : rcBitIndex_reg);
assign rcByte = {rcByte_reg[7:1], SPI_MOSI};
assign rcByte = {rcByte_reg[7:1], SPI_MOSI_reg};
assign rcByteValid = (validSpiBit && rcBitIndex == 0 ? 1 : 0);
// Incoming MOSI data buffer management
......@@ -128,6 +144,10 @@ always @(posedge SysClk) begin
end
end
// Outgoing MISO data buffer management
// TODO: implement
assign SPI_MISO = 1'b0;
// State machine
always @(*) begin
if (Reset || packetStart) begin
......@@ -157,4 +177,12 @@ always @(posedge SysClk) begin
end
end
// Debugging
always @(posedge SysClk) begin
if (rcByteValid) begin
debug_reg <= rcByte;
end
end
assign debug_out = debug_reg;
endmodule
......@@ -108,6 +108,6 @@ spiifc mySpiIfc (
.debug_out(debug_out)
);
assign leds = /*rcMem_douta[31:24]*/ debug_out;
assign leds = /* rcMem_douta[7:0] */ debug_out ;
endmodule
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment