Commit 74ff52fb authored by Mike Lyons's avatar Mike Lyons

Loopback (send+receive without XPS/XSDK) works at up to 28mbps!

Signed-off-by: 's avatarMike Lyons <github@explosivedonut.com>
parent 651c43f0
-intstyle "ise" -incremental -lib "unisims_ver" -lib "unimacro_ver" -lib "xilinxcorelib_ver" -lib "secureip" -o "C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/spiifc_tb2_isim_beh.exe" -prj "C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/spiifc_tb2_beh.prj" "work.spiifc_tb2" "work.glbl"
-intstyle "ise" -incremental -lib "unisims_ver" -lib "unimacro_ver" -lib "xilinxcorelib_ver" -lib "secureip" -o "C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/spiloop_isim_beh.exe" -prj "C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/spiloop_beh.prj" "work.spiloop" "work.glbl"
......@@ -8,7 +8,7 @@
<!-- Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -->
<messages>
<msg type="info" file="ProjectMgmt" num="1769" ><arg fmt="%s" index="1">Analyzing Verilog file \&quot;C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/spiloopmem.v\&quot; into library work</arg>
<msg type="info" file="ProjectMgmt" num="1769" ><arg fmt="%s" index="1">Analyzing Verilog file \&quot;C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/buffermem.v\&quot; into library work</arg>
</msg>
</messages>
......
......@@ -16,11 +16,11 @@
<files>
<file xil_pn:name="buffermem.ngc" xil_pn:type="FILE_NGC">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="3"/>
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="1"/>
<association xil_pn:name="Implementation" xil_pn:seqID="1"/>
</file>
<file xil_pn:name="buffermem.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="5"/>
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
<association xil_pn:name="PostMapSimulation" xil_pn:seqID="5"/>
<association xil_pn:name="PostRouteSimulation" xil_pn:seqID="5"/>
......
......@@ -84,7 +84,6 @@
<ClosedNode>Implement Design/Map</ClosedNode>
<ClosedNode>Implement Design/Place &amp; Route</ClosedNode>
<ClosedNode>Implement Design/Translate</ClosedNode>
<ClosedNode>Synthesize - XST</ClosedNode>
<ClosedNode>User Constraints</ClosedNode>
</ClosedNodes>
<SelectedItems>
......@@ -117,13 +116,13 @@
<ClosedNode>/spiifc - IMP C:|Users|mjlyons|workspace|vSPI|projnav|xps|pcores|spiifc_v1_00_a|hdl|vhdl|spiifc.vhd</ClosedNode>
</ClosedNodes>
<SelectedItems>
<SelectedItem>spiifc_tb2 (C:/Users/mjlyons/workspace/vSPI/test/spi_base/spiifc_tb2.v)</SelectedItem>
<SelectedItem>spiloop (C:/Users/mjlyons/workspace/vSPI/src/spi_base/spiloop.v)</SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000133000000020000000000000000000000000200000064ffffffff000000810000000300000002000001330000000100000003000000000000000100000003</ViewHeaderState>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000175000000020000000000000000000000000200000064ffffffff000000810000000300000002000001750000000100000003000000000000000100000003</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >true</UserChangedColumnWidths>
<CurrentItem>spiifc_tb2 (C:/Users/mjlyons/workspace/vSPI/test/spi_base/spiifc_tb2.v)</CurrentItem>
<CurrentItem>spiloop (C:/Users/mjlyons/workspace/vSPI/src/spi_base/spiloop.v)</CurrentItem>
</ItemView>
<ItemView engineview="BehavioralSim" sourcetype="" guiview="Process" >
<ClosedNodes>
......@@ -166,4 +165,18 @@
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem></CurrentItem>
</ItemView>
<ItemView engineview="BehavioralSim" sourcetype="DESUT_VHDL_ARCHITECTURE" guiview="Process" >
<ClosedNodes>
<ClosedNodesVersion>1</ClosedNodesVersion>
<ClosedNode>ISim Simulator</ClosedNode>
</ClosedNodes>
<SelectedItems>
<SelectedItem></SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem></CurrentItem>
</ItemView>
</Project>
<?xml version='1.0' encoding='UTF-8'?>
<report-views version="2.0" >
<header>
<DateModified>2012-03-07T19:13:42</DateModified>
<DateModified>2012-03-07T19:21:13</DateModified>
<ModuleName>spiloop</ModuleName>
<SummaryTimeStamp>2012-03-07T18:47:55</SummaryTimeStamp>
<SavedFilePath>C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/iseconfig/spiloop.xreport</SavedFilePath>
<ImplementationReportsDirectory>C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav</ImplementationReportsDirectory>
<ImplementationReportsDirectory>C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav\</ImplementationReportsDirectory>
<DateInitialized>2012-03-07T18:30:28</DateInitialized>
<EnableMessageFiltering>false</EnableMessageFiltering>
</header>
......
<TABLE BORDER CELLSPACING=0 WIDTH='100%'>
<xtag-section name="ParStatistics">
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=1><B>Par Statistics</B></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Signals</xtag-par-property-name>=<xtag-par-property-value>32</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Design Pins</xtag-par-property-name>=<xtag-par-property-value>141</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Conns</xtag-par-property-name>=<xtag-par-property-value>141</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Timing Constrained Conns</xtag-par-property-name>=<xtag-par-property-value>128</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 1 CPU</xtag-par-property-name>=<xtag-par-property-value>5.1 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 2 CPU</xtag-par-property-name>=<xtag-par-property-value>5.6 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 3 CPU</xtag-par-property-name>=<xtag-par-property-value>5.8 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 4 CPU</xtag-par-property-name>=<xtag-par-property-value>7.4 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 5 CPU</xtag-par-property-name>=<xtag-par-property-value>7.5 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 6 CPU</xtag-par-property-name>=<xtag-par-property-value>7.5 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 7 CPU</xtag-par-property-name>=<xtag-par-property-value>7.5 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 8 CPU</xtag-par-property-name>=<xtag-par-property-value>7.5 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 9 CPU</xtag-par-property-name>=<xtag-par-property-value>7.5 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 10 CPU</xtag-par-property-name>=<xtag-par-property-value>7.5 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 1</xtag-par-property-name>=<xtag-par-property-value>18.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 2</xtag-par-property-name>=<xtag-par-property-value>11.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 3</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 4</xtag-par-property-name>=<xtag-par-property-value>3.3</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 10</xtag-par-property-name>=<xtag-par-property-value>14.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 50</xtag-par-property-name>=<xtag-par-property-value>2.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Signals</xtag-par-property-name>=<xtag-par-property-value>117</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Design Pins</xtag-par-property-name>=<xtag-par-property-value>398</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Conns</xtag-par-property-name>=<xtag-par-property-value>398</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Timing Constrained Conns</xtag-par-property-name>=<xtag-par-property-value>361</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 1 CPU</xtag-par-property-name>=<xtag-par-property-value>5.4 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 2 CPU</xtag-par-property-name>=<xtag-par-property-value>6.4 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 3 CPU</xtag-par-property-name>=<xtag-par-property-value>6.7 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 4 CPU</xtag-par-property-name>=<xtag-par-property-value>8.3 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 5 CPU</xtag-par-property-name>=<xtag-par-property-value>8.5 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 6 CPU</xtag-par-property-name>=<xtag-par-property-value>8.5 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 7 CPU</xtag-par-property-name>=<xtag-par-property-value>8.5 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 8 CPU</xtag-par-property-name>=<xtag-par-property-value>8.5 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 9 CPU</xtag-par-property-name>=<xtag-par-property-value>8.5 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 10 CPU</xtag-par-property-name>=<xtag-par-property-value>8.5 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 1</xtag-par-property-name>=<xtag-par-property-value>3.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 2</xtag-par-property-name>=<xtag-par-property-value>7.5</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 3</xtag-par-property-name>=<xtag-par-property-value>3.3</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 4</xtag-par-property-name>=<xtag-par-property-value>4.5</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 10</xtag-par-property-name>=<xtag-par-property-value>7.6</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 50</xtag-par-property-name>=<xtag-par-property-value>3.8</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 100</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 500</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 5000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 20000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 50000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 100000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>IRR Gamma</xtag-par-property-name>=<xtag-par-property-value>1.0025</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>IRR Gamma</xtag-par-property-name>=<xtag-par-property-value>1.0114</xtag-par-property-value></TD></TR>
</xtag-section>
</TABLE>
......@@ -27,6 +27,11 @@
<file xil_pn:fileType="FILE_NCD" xil_pn:name="spiwrap_guide.ncd" xil_pn:origination="imported"/>
</files>
<transforms xmlns="http://www.xilinx.com/XMLSchema"/>
<transforms xmlns="http://www.xilinx.com/XMLSchema">
<transform xil_pn:end_ts="1331171506" xil_pn:in_ck="241267088593728842" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1331171506">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
</transforms>
</generated_project>
......@@ -127,18 +127,18 @@
<association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="92"/>
</file>
<file xil_pn:name="../../../../../../test/spi_base/spiifc_tb2.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../../../../ucf/atlys/spiwrap.ucf" xil_pn:type="FILE_UCF">
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="ipcore_dir/spiloopmem.xco" xil_pn:type="FILE_COREGEN">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="35"/>
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
<association xil_pn:name="Implementation" xil_pn:seqID="2"/>
</file>
<file xil_pn:name="../../../../../../src/spi_base/spiloop.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="42"/>
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="3"/>
<association xil_pn:name="Implementation" xil_pn:seqID="3"/>
</file>
<file xil_pn:name="../../../../../ucf/atlys/spiloop.ucf" xil_pn:type="FILE_UCF">
......@@ -387,8 +387,8 @@
<property xil_pn:name="Run for Specified Time Translate" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Safe Implementation" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Security" xil_pn:value="Enable Readback and Reconfiguration" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Module Instance Name" xil_pn:value="/spiifc_tb2" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="work.spiifc_tb2" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Module Instance Name" xil_pn:value="/spiloop" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="work.spiloop" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
......@@ -406,7 +406,7 @@
<property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/>
<property xil_pn:name="Slice Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Specify 'define Macro Name and Value" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="work.spiifc_tb2" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="work.spiloop" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Map" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Route" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Translate" xil_pn:value="Default" xil_pn:valueState="default"/>
......@@ -457,7 +457,7 @@
<!-- -->
<!-- The following properties are for internal use only. These should not be modified.-->
<!-- -->
<property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="Module|spiifc_tb2" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="Module|spiloop" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_DesignName" xil_pn:value="spiifc" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_FPGAConfiguration" xil_pn:value="FPGAConfiguration" xil_pn:valueState="default"/>
......
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6
###6200:XlxV32DM 3fff 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###4472:XlxV32DM 3fff 1160eNq9WtuOI6sO/Zn5AO5QieYzzmtLdZX2y94Po/PUmn8/tjHgqpBKqnv20ShDWBhjA/YC0vambve//ILFP5PF4vek1QxfInzU54/B3+b1/vew3Ey8/xjCTQ/L/ccy31y8/2Um6m9Q/sew3eJyh9pSaivW1lLbsLaV2gw1q2jcNPO4KcAX18bdaNyZhx3zsAPYq7PZtm/9utOyBNKih6xm9M36dWd2MS2pYloxu1j4S2sc2Xz+xEHSfP/wC6JGNdSq+0ewiLrUULMBagCdvABXADWAy4AWA5jczds7lJ7LgOWHd6gvFn2ATohGRFMUfTX31dxXg5SmvlsZdka7cyvZrpfWgra7DVEv5NF2t5KWKFAw3pGGINEF0Jk0OIHCTLmJRvMCnQAdSW8onnnyzJJsXIvsxDb7YvPWWtBmO5AdvqFos02kRaJgs6VZ00agYLMNZLMSKNhsPaFJoGCzzatR/IN5J5sNoXU2R7bZFZvn1kI2K7JZNRRtNjT70QoUbDY0+z4KFGw2pFeJEdFmQ7PvJAo2w+c3hIY1N33TsHVvxnz+Pabbmu4YI0ZTUPiZQxo3/pTuIrKnQUb2NMrInnbhM0UZPlOA8LGGAtU6BmeDIr7UKN5CqVmsxVJzWEul5rE2lJpG1TkDubGAaItjsBg/TwgW42dMPa4YP6Mrrhg/o9MlvTjKKzTtUCsdFjSC4uP+VyhuLuhD0KWGVgdTauhtsKUG/v0TSDWsx7JLtFNOtLDtcqqy+0TbUtW8y7DLLsOuMo118i3VprtIu3beJcwpp914Me3abU8aOe1CYL3ry/QudxwS9LzzZZ/850hWhIu+ON0jQBuf+5Jne850+BYBLs8XxKkeD/qrTtidE8yDNl11YnrbiXXnhNnTcF4Jd8mJX9qWVAjqMM0GSr6uojanWWjNabZSFLQIKl4bWqlYWyPQwsXajwKFNBtyotYCReKnRK3FaJhm/VrY3AMIcUUsDnuSSljWXBrB6rYqVkdWLzo069CsQ7OOyu6u6tA8HapMh28tgt0F2tjdKoFWdverQCu7hyDQxu5OoJXdTWFr8KGxu63olm1GD5cd+0FLY3dvGtrY3awCrezuF4FWdg9OoJXdlbCjsbupGmbB7raiC9s8F5t1a2ns7oR8Y3czC/SR3RGt7F7PB4hWdldSb2N3Mx7ZfcjsbjrsHnfsnk7YPezYfWjn9gda9ztatzta1ye0bna0rnq0Phdab3ye81Sj9bSj9aHQ+ks+d4XPCz1nWpd87nd8rnd8riSf+y6f6xMOnO/P7087Ps95eJPUcrw/0Uo8EPl0lchjl8jNO7zROZTMX+NAG3pEPl71ZegSuT1ZkK3vy/OV6N1kaW8/MPhw1fqpy+DuW9ZfOBeOPQZPFxmcbKNkNQoGtxXlyx205lQattbSYXA7CQY3o0AfGdxOPQa3U4/B7dRjcL6P28Bl4nIUDG6GoiJ1GZzv5TZwmbgcBYPbqmPg6UhlOpbW0mFwQBuDmyTQRwZH9JHBEX1kcEQbg9dJCpLBKxrZ5vKmEKbW0mPwKBk8CLTD4LHL4LHL4FEyeJ0heT+3FfVs88P9HFp6DO4lgzuBdhjcdxncdxncSwaHY8SBwcfM4PZb9/PQv5/vGZyp+92reOXsPVl/9fJ9kaVVj6X1jqVtYelGzyr06BnWKedT853r9hukkFSPnrW5+r7Z5Wcz97346q1U2q17VKz1VbtT91WZjyej/vN2mx4Ja3XV7qHHwmY82TVb/2FDmj+/PM0l26Pf7SL91puJGiRFzgKtdKrXhjbqjUI2v2nacuspGR2QSu2VPBNfd2H75NJwaQV5BiMMKfyrtRJo5Wq3CbTyeixkA/srm1feAioFJ740oyWaJTRb0p7Iy5jJCBqvpxHQ3nvyToJSdRBopVQvNVSqbs/uSdB6JXslyVBbgVbibI/gkmTj2NA8G7qQcllcQBqJeyusq4QfypInJcizPYRLoq2krCQpey3QSuCxHHMgE2frykNFe8YOgibb83iQlDoJtPM8HgRVt2f30KN1lG30C8L6ZvGTyXfK5OsK+Va+tIKqpjyGfcjrnUfHfohWG7V8bBh0Qz3OoC3BVUAOot+/prwEH5O7/4TvA/2mlBfrY0n3n/CdMD3kaPuALzD5UGNYFVghrBhOM8N5qeYMb9mEj224/4TvGYuMRcAiY4GxAFhgzDPmAfOMsfEbGL+x8ZtlDPIFfM8Y276B6RtbvmnGIJrhO5u9FrNXNHvNMIWs/iQgDfUUZz+xIwA/8TJh1lzmFaCjKQqYKgABmcupnrdRwFYByA9U5uxR1xDmNIx3WjZax3xzwa6udg2aS1dfiVDAZwHYemQdlGzdygKhCpB1WKJ1C0cJLD4OvYDiqT7zYb9Y+5HRUGajaZOjwFAFyDQsybRUoge2RPYKchhF21CyCWyt0kKRTD97gk7YnYS7dIOM9xOfa9svoOkTraQxoR9sbZKjEuSoRCMdzzDhOpcYDq7mEU1vkDQ0lXbIKqgOJSQjKt3Iqtl/SAN5/ngoascheVtQO64+m0DtaMqaTcn6Nb6xU+kmNjHTl2M60ikvJU6byRaahS1lpzNLU5rSlp1ZWYS7kBMoati5iZ3z7Bw7YzZ2KrFTvIuonxlzOzqV2Cl2NuvVrFfzpGmeNH3L7Es/fUd2amOnNDu1saWeV3xii9nCfLzQGESBNSyswXLJPaziMrEGVTN+WWva+651CZanZeFp4W1U3De85uQWTsvM06J4WjiQqR2nZeZpUTwtG08Lb0PHeyCfpOrRA50qu4yDyHOcUxnpSFKoTuvsjOPt4CM7wbGSN1asxhouaYbRCV5zz2tq2GhqRyd4A3s2Pk+O5j2js140aa28NHzmkRVbwKHkyq7h6ajlwJp4BFoGzHqmMjfuZ+zJkoYnjiWWJlF00NJiOdUTGUvkRdZ5vtDuWI+8KIEzw3YFnuycwegnBps9y+PHHFfYY6g/nLCE5VWwPLv5rEa/NLGE403mOQGLPwDh6HWcigJvQ9omITEnadriv3+l+rNKyhvK5Weg339b/kWC35P/O8w30ADw2uBNwFuDTYP5Jx5+A22wbrASsGmwE7BtsBewa3AQsG9wFHBocBJwbPAg4NTgUcBDgycBjw1eBDzByc58Yl3d/zNATc2fNtrRWTc775Nb/OYT+Of9YI1SWHdYinb4trnoBsA2772z2nqQGNzq3aF3X9K72WpnrKJemxtAp+wdoLeuch5QS7JNG0qDXSCLckZqgX/em4Om0r7TUPuDXdAmWnFEkHfOv6X9vP/mv6f9C/3dRvOV26S+HXqUwjrI5pWMSmHI5b+LoH2ky9alfbNOn7iasHPayhkbYQz7ZOUdrSiYXle+rbiu/bKEJ4ln0r4rve6kH3dVts1VydJrteZlr1AluZc3pzs494pV0j/ZvxQPvq7hoe+zXZuj6GTX4bcTvS97nu05ltjnC7nymCHg7vsyZ7jdqr7eO73o92/o2EtHF5yGldRPe0qJRy3GDicz+3LevIzXEnW64uao0ZtncliX0XqyIv112HmUVw1HoKhfWtR7GfWTxqi3F6I+isg8zvnwEJFaRL0+RL2t0l5Ir0+kvYjbvW5Tpc+iPUv6J7tAIyG90PCs1xMW6+6p8D3ueqrzO4x1HvnmjciPFyI/dmfMnc7Yqf3dCFRPIjAcIk4d638kAsmbPHuYoygC1xaBVkSgUQ4jMLwdgcRR4H0+cZnuzOsq4Z/kTvMkX+oT6R3+VENvvLWngWfKv713TOV399TzUCW8OE8cpcv5JIjccybt+9J8ZrAH6dCk+axghfTrc4n/4gkj/EsnjPB/OWHYl3nGiGi9lCO+wNTh/8LUefeT95Wp80sA/4ooz+cj5gkDeWJvr324BQzwv3fB2p2NnfbdbYPmWNxW9noPElUHSjiqi3mH/x2cGOHDnqIGh7c/QAfeDa9H/preh16HXfiFcQ8a8DRpaDfwzvz2iFc17vIHr8gxWuJbI7+r6TGvPLYdz3aqk8OjyOCqcx50In8/3ub2sud3uL3s8eZ2Jpte3vLyzaPE9nzoK/CnfWe6U6Uc8/lP4z/5DxBEzKca8+8ypCXmzyxmu6dzVSWYl7rS5XSuBOedSceedD2lnJ2t8/2rnFaunafzTefPnqezzn/vPO3e4Dl74UzUnzH/5FabvftT52l5d/43z9O+3mgdRj3FjK4xs8mQmT2GjDuEjKmuv/8U4R5CXOp5FeSuBPn+KHEydadHjK8s5fmx58S3+eHBZ3724POVAHr3wHg54N885J6nonce+Do9E6fVV2QU6ji+SXPPnTQdCHR9vne7fvJBtUeP6qn0I/Gag02vpF/FkuhHgWpqoC4iUJ1OnxCm6kKgnrwcXNHho4+dFyZtUw3LLEFvRU+l3SVpdUE6XJA1Pdl6wnqUJZKuJ6xXsvFtWSfaX8v6C7LD27L59LD+D/uZ10s=###2788:XlxV32DM 3fff acceNrFW0uS5CgMvRIgMHbNOeYAVflZ9m5WFX33EQJjYQsM6eyu6IiuTPMkgSyexCftA6x1oJWyDxs+G/q82Iez7ulmMG4BBz63n2En1n6OdQPYpRvrAQaw/WObB8Y2D4xtHhjbMjC2ZWBsGtTA6ALaDaGXAbQeGGNAj4zSDI3SCKN0YEq0Nc5Ybe8kB0luytgk5zyTe9oF5Y7WfEaSlPP2BhqlQJTSm1RCOkLeEOkRB4R9BnnSZrOOp3P4zTmz15MRhY5Vg7WIRemtFeUREXR16m9qQLlWK+Bz52Z7iz4jrxmKrBu9BV1i2BioPzFSunrZ7IeswT7R9WvbQeP2fI8L3xEdo8k3RymPbX3Hlt4QeSJE7e/fv/DRh/qA71/L/cOqf/5bbh/mn3+Xrw9t3Dcy6ifG7drboH2JEQye+Ba7ubXjX4NDncq+Su1bDKFXyDdhZoT+YoxGX1v8rMgW/lu5vUD3ag0e+Yr9Ia/ivEGvzEnDDp3t+RJb1WgbKBETSIJGZpInNfPkDpPe24mmGGF7XOwlRSVGWfIh8OcBj7FgSjTqps+dOjh6CW9+fbLNhiBBkWYx0ixF2hcLNGPtNwavgk9Odnzi7qaooVctBfdS0vOZDnQfEOEaUc5nhAsI+myraMiIc7SmYO5HuyG0HkD7AexuhBiGTsROEZvaW1iE9WHL9Am2ImUEC7w3JmNjypQTbSQ3yLiYnI/xFt+LyTTCJWspkmRaCS58qmo9lWslpYRoJ0g4TZAmEaPUv1PrmxxLbZonCa6PpY4dKnx/U0LMI88J0WWaWjhNGf8dOHCApoJLJgxbMlWlJo44dtDBfGF4UTq0WQo87mZDblb8KboV9t8LN5tKXbTpaldnpl4VnQSm7QhM6OrhleryXPuF2liq+Ve9iIr01ajdRflAf5pRJWRdtRVDSiOM7IGRMghx7EpsTiISdhnA6iLlnKP9ANrsR3iCdkPoKh9wOSKbKVff5pOzzaQC23hkGz5nsXA4zNm1/pVmatHeiN+93v0M5TW2pe8sgvF/azVidYrHoMGG5IlPl5R2zi2/pvcgtUt3L9jdaQj8bCj1QK60r1kc1VjM0fRG9tHluyz3ajqy2LGN7TFk/RL+kZhD7WZKWPeY3O6ofd1nkbAT22U5w2qG6EHbIfQ8gDZpjL1oaZSmip4zgtDO42pOH/epqMwmdELUSloLUomYpU+LKcj7LHPiN7/x273gt8+1miprkumP8Nte7xv5rcvquM4qJ7xmj0l3s0+XpRFtPeyjuqz2aqrxmGpUSxKPqbTnKs1bu/IYtbsmNlQHthOrVs7j2Ap3qCHOU0Ocp4Y4Tw1xnpI5L7GYjPYZserm+98C5yVEvXY2FzgvSoc28ihx3pwXkObBOe9JG11ut4Jko+r2wbzzgbQ5MV0YFUm72c20MK5vG8wdK9Apr0Ch/j47Mp5at9kGonYZQrshtB5Ae7YCq2M18Y/f1UROrJ808Y476JWxKmHP9c67rbW6Visguc6bwLDrdp/Er6Isk3kH50t6/0QGk+y8Kyd36b5UX0gWboeNu2s1041VfaWN6kmS1C6uvmfa47g12DGykrxuXzeKVdZS46KlrL7zFrRKeWDBPLBQHtAsDXjApT3AgmlgXo9K6C85g6hy3fWeaKvoSICb3Hr8AkcpCedCcClCZ1Q8UMKWCZ9miTDBY2kD6/7qFyGSJjqAusfXW+qTsc5sR1yv2HIpwdPiAl99fIbWw1GYLQ+buqx0acLJ+C57XZrY2GWsSdM9HvwJ77eIGUjLxHrMrJoeVo6bO01k6guXvNyHut52P6U+9swd8yNzx4L9a3OnYeuNcyda+XtzJ9r7ubkDRPI/O3f2ffgzc+denTv+xA8dc0eKcuFawbN2feB44YDPIDmKsocM6rXM1rbgK2ZKqZG1V/19yWr9LTZ7tkb36czR+VDtz3NPw9YbuSda+Xvco9OB5k9xj/9x5vE/mLOBrkn6d2fsymbKYf7Vt9AFbHUZ0qF3W3icY33mEe6JsHD8uiSjdndmgufrvS+3gjY03wja0PkbQ9wPfWMtW5/i9tMLssHuXZBtX59o97m98dUnqxqyattwe0F6Z5mWn5+4/NTp7OXJFqDu6/mNFOd3+5CbQ9drT/KNlOOFLyZ5sifp6pJNm/qwd7hJ1tDH58uL1uFFuXj75nA1jl1yc+yaWdOvTTu2NbLmG7GVy4yzeH+B34SX7j1Ase/fujVS8UNlz7t3NFDfLXd+uzEvRoxuTnXav17/IrU4N5/jJZzg1ZE3YPJI2me8rbGqagJaTxSgEs3Ly5Kv2oSKzUZ8phiCly3qMYtY5Hh2m2p/Qu8zoh3j+cpxEeOPJvr8FMgeGPfMf5JHfNfcaEe5fkM/dHcZULusG8+zzIXzrOjReGH0Sn/iXKZb/Jf0LEmLKU7pRrVA0qLBXtAS89093zZ9VU/MZ/f1Uu4FPSbpMcVp6LB3aHbdyT/m0m3cfJtVPJ3t16NyDF7RA9vvogAu6dH5DPzKWXFkmtgffUlPuz/9p+A6F+UG3AVNW3FPOqk8/8LyfIrVOb9nrv3zm34R9llZfh5/sWYrdXp9udurQx+qk+P9g8pNsqa36N10/BZvcAQ5F+/Pv5dDLlYsF+vqvQTHxvg4u1t34hG4FIvk0RRD9mJUGxbVV26JWBbV7tIdGsjzdf0Rxi0sXuMlmomvXZ+fYe06n65d5epuamyP1NAS/46sRmt9Oc7P46pIsV8T11dF6TfEYUuyuvK2p2tLqEj+DysHySM=###2520:XlxV32DM 3fff 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###920:XlxV32DM bb8 380eNqllkuO2zAMhi/TA4giaT28GbSbWXbQ9gCyYy8DZNHZGL57KTuWFMSvTibAGPn0m6L4U1LIUcfEPVvUqNnJf6cUtdSTIUdIcbwnjYy4yhGtcJQRZkvtQ6Q4IoSA4VFTxOmZmRCQRPGci32Ys9SuZcInYjyqDVUE1CFsvlkqnqPM1Vpfvdtc82Pt2jnSbhX349w9i5WOeY7jFdl65Wm4uouHpv7rWq/rP67xrR2wwnC61EZoRy2CTKNWi+SSgifFc3o0lXq/RfSJFjH/0SJmIxN4wa55HXEMd+LYE3Eg2c53u1y2y33ZrtmixS44tAs2dro5tAsP7dpql+281wr1yu6a1xHHaDoxvm6XS7YvdoVklzalXeHZLrXTpesGPB+GRzFEiDoeKJslnxVbhzivFjrNylY+UsYTe/SVfcGp0ITVXj4Hcab63XPGdCA2HrweolcweUXVINE2vOKK4nbvWSKz1G3jCqEXspzfng/t6p5jK22FU1thV7RVJW2FkuqhQQf2uNNt5TYa071kiytsmZfMJCuGeSMt19R4tSpRUokGnbUh0SZr9SXTLtMu0a5f0wLlJLigbaKYKWOiOTMIWQs24yYHhlDgQt0mrMEkbDLVkGgoqEu0iIBZmxetbTFdzlm7KmNd4Fw5oIxDoa4SRpXLAV2Bc3o6Tyl3Tsa6wE3Gacrx87eZ5PJRwy/5PWPZ2+BBjjDdo0dpK1W/G65vxtYNOPbT6kX8bkz9zWiPQThdJq4Sr7y2y/AY/97efgwYgudLsCF0vYDvAw3O3GdH29Q35+pxcLigakFmnjRGs76XfDBDK9DJFe5744Gj2ehlo0j3SktJASaxzPZz+JD7RNUfUgeob6DilxvA9A2D8TY+goSXRyMPeecfqHDqeA==
\ No newline at end of file
###5104:XlxV32DM 3fe7 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###3740:XlxV32DM 3fff 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###4788:XlxV32DM 3fff 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###5344:XlxV32DM 3fff 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###5004:XlxV32DM 3fff 1374eNrVm0uO5DgOhi/TBzAlWZYjUFdJQC8DtelazLLQdx89fsq0M5yZrurCYBYJhmlJpGSanx5Oo9LzL2XmhzPP78Y9psdfFJcHuflZrtdyXYTf1b6qw369Pp8/KJha7p//0FZ/qJ/fVHYP455vLhat9l5o16JNTeuE1hdtbtpZaEPRbrVdWbZYK+r1+c8/Qc/xQQ8qzj9o/fk3pemhl/j8i0J6qNz75RfZL6Um7heu6Sk7qCgfOqhoKx20vo2D3ZpYpr22K6UX2q/Xeq3266XUXpZWzcUu0rirqy8u76Vjve5GVlvFjzW3K787pEuT333cr229Fo3WJ+T3RrWrT2iqPj+Dbr7VIZ5+9lFS6vk3xfmxmjps+WGKyy/jYT2MGwJBBMZyCgwnAqPYrWOkpV0NuzPskoHd8rzWuffatTGgnLgVd/beoxV15f3R6fX5cTSfnNZnpwPM6TtO+5PTmod8+jWnZ3b64Ot68lXzANMdX+PZV/S4vJwfh4c7hcfy/HreKHbD2fsIu9uXvf+PnpeeJeqDK9rn27IUtdpmVvs9KSlTI3KuWqpB2Cp1uUKGKt9W29qw3EZsbfjQDA5tT2zL1MoaoS1lbU1h2myspe6c9VW9uL1wzWzND+/qrY1EOyUVLqq2npTQlrS5UGtmaBU3Q2gmb/ut2owJrZlJaEszpnljstCWDpW/2iES2tIh09pd/D6sMLmy50qMOCdxlbTQjoQ/r0I74DCPxtc+WmsvHMVjKIV9e5TJCG1p2LcmNi20xQnfW0isDb3hkhpbb0TL6E1ovSnRad17yiRQZntNGTpShhK/Dv87uGwMF1xXl75OGX+ijP2QMvmCMi5+kkb8KY3YV+P2Lk+nC7i4dCP3uekCLsWpPwGX7QIuLtxxWl3ApVj7JafdyWl7cNrRBWVKOzecNleUsTcp89kk5EgZp68os9ygjB15ZTtQhvOYUy8pk0CVrUsH6jgtKcNZr4zQThnL2bREqKCME9pBGTvSepKUcdNeGNltO1OmtvOeMlW7U2bgIXMz6R1l8kvKZEGZWZbdKbMI7aCMU/uwdpOOzpRx6hVlnBKUsbPQDspY5rcjSRnrxGN4T5mqfU+Zqh2UsSMY9IEyTrSB3mimzDxVyiyPEreeKZM7ZUouf0WZio87a5m69jngZufIh9yZT9yxd7ij6cSdeObOj/6Kffd9ten9iUKhq+MBNgcYzQyjwh5nr9hj/mxyma8gdGeF465WOE7d9P6c2O3J++Xo/XJFo1tLnfmKRtO/g9D5iFBzRaMbax6VaqQvL2i0MhXKxGt32nanl9PIf94X+37ZJufEs8hW+/x53jNbH11okX0NUuE8ZuhGJjI3EhkvLspz7jUs5+CikRSTlscGzjwJ7Z498976zqWdHHJ9slNGrGV2aJSXdkCjv8HQwuuZveZZetHseFNbFK0zC9UWROsDKFZqB3wGqKqWQaUSb0aVGGnbTt2LVah3TK+r0DI19GSFdlBDRaFlavTJgum965ODBZMGs08S9j22WteWumuzswntUrS+QaXEc3F9PSxdNkDFDKj8MLqH77+yT/bDxneIMZ8gxl4ubX649VdJs12S5l/aTlPRXMBmHrCxf2I7LeUL2JgbsFFxuYDNvHzi/fwJbD7x/mrpY8wd790FbGZ35f3vrNeyuoCN0XecXi+WPvP6yZD/3gZbvlr6GPX1pY/ifF27MdKWmWox3bU9X+c2qUW2DIyJfJgL70ujEswtyyUsjcpIdymWRjotwvTIuSEJ7ci5Lgst51wzLewmw7AYqm66lkADc6FoBUIDn11EeXbhktAytlXOQjsWJJlzfXksA32mcUF3bfcmtRXZm21dCEzuJBeZOllhYeA5MLzKSIpF36BXNTxWiGERWqa2dtJJprbKE2vNzmczaXadFzHFbnW9vAm1KS8qjTUfTwuadsDYb0ILGJdorYF0olafQRZqza+pdQGrX2LUx2hyH6LpQyI1Yv1hIvkrIvmvZUX/lR23bK74c2fmHcMVf8JX+XNnhZbnK+xMd5yOV9iJnwzwrXxtL2ijtzu+0hVt0p+lzXJBG51/iTYkaRM5+xCn8UXQJvIWWl5e0yYb0AVz7DLSXS6SNkGYHrSJq9C+oA1J2gR2k88TiqGdNpE3u4pW0CaO/B5f0ia+pE18SZsgaePZm8DezII2kbel8nygjRcWBm0iL+WyOdBmFYYHbaIW2he0CS9p4yVtVnadj5myeUkbL2njhHbQJkoDO21K2L6jDYE29qM10m/sv/3WGun/Y2l09aXBnG/mnnv7cNldoEmnO5lTX6Fp+7eWRgen1ws06XjH6avtt3n+Iyui6YpR4Y7T9opR9s8yiq4Y5X+JUVYyauacxTtYmeSKiDfyMl0wyoFJ+BShjHSXJBllhOl9RSS1LxhlJaMMu8kbisWQWBHxTl7RyhURcVvzS0bNLxk1v2SUlozK7I1mb1bBqLFDl9cDo7SwsDOKT/nr92KCUUkY3hm1Ce0LRumXjFKSUYld50OqYvcVo+S3FikK7c4oqd0Z5efKqPTwJTWAUdqAUdhS9/a4O314WbYjqmhLx5dly3taaodXH4BqskdQTcsRVNN8BtV3J6DY0LRTZqqevCfYlI8Em+KRYFMQBMPB0bQfI8FWTWjiPInM80A4mplwANuBZ1T6WUb+/HFBeVd7nhhbbViSLvk6y4XtlOUm6q0s4+iZs5z+4DE6c8pxuuU0zbl0Oh1lTADAwrmUTxLPRxkfb04uVx8JyITqz3uSE+Pgzq5e2y0yshWHLvCuHjkxUO4wFAenz0OlDkPVtnsOdtZux/IKtsBzH6q7QG9BdRgMzB+0vTEYLfbk8yREzVhlb6fHKdH3G0dUr47bVHvAB2/QJ3XTm/l5fHL2tJr/4KBStYGVz414pgTb7vld97a0x0Ppo0vtG752UsPMCFkcF/GXeHXU9w84llVomXZqWYR20G6xQjto59OubXCofdD+cODj8XWIx1ZoKbGD3jNwi7Z0otC7AcozikqlcQ6kYhZanAMVrfChal0/sdqEtrTgGpc8L02ruf1kcFAy9CUksOzVPpbl7eq+t2mOykzF0D7+aDX3E0Fvxd0Vd8cpohfd2AetTUv4WK8NR6ij1DrOX0C2jo8jtcUILU+SFJ+kNu34wGPRQjuO6jyf0Pr2qcu3msprR+utMdfir2p8xkGl591kf9iS9eveWFevhw/k47SfSeqVeIS26nu/u2+dzuLugrvl0ZplzFP4rsfdMfXwTty1uFsCwLQDRnwVU+c2/U5o2h4WdbLWn1XoE5MyLSmJrvzx0llhWuLeL50/XhqXpPzuQz7wy/IZi5Ip5otrQjFrC0mOghfacfo83rbQX9c2h6vHrj0O3sqP57d6RaHFneltv5UfZWDMBL3eegS/lR8lMLY89JH1serj0DvWu6p33P5CaL+8Nt/qFfQ4wH8rP4rebkOfWZ+rPg99Yn2q+jT0kfWx6uPQB9bXMLBh6D3ra1hZP/Qr6+vLbNfRL8/98rVfXJ7aGslPP78ZqsuL0on6o6S3LmdIA6m7NAkydjkHSN9lhj5Dn1dIB7lAwk6GnQw7GXaygiTIqcuUIeFHgr0Eewl+JNhNsJtgN8Fu4v7BzgY7G+zweGTYy7CXuX3cT/A7we8N9zfY32B/g31ig8SWiE2r2fKPmX8Y/oHmI7ob0d0IMxFmIsxENBXRUkRDkduBFxG2I5wJ6G5AdwPsBdgL6F6A3QC7AXYD7AbYTbCTYCfBTsTwRdiLzR5xHBLikBCHhDgkxCEhDglxSIhDQhwS4pAQh4Q4JMQhIQ4JcUiIQ0IcEuKQEIeEOCTEISEOCXFIiENCHBLikBCHhDgkxCEhDglxSIhDQhwS4pAePB4Z9jLsZW4f9xP8TvB7w/0N9jfY32Cf2CCxJWLTiEPiOCSOQ+I4JMQhIQ4JcUiIQ0IcEuKQEIeEOCTEISEOCXFIiENCHBLikBCHhDgkxCEhDglxSIhDQhwS4pAQh4Q4JMQhIQ4JcUg1DvmzKJp/4t1T/BKOFxVRrMzG46L4xxhEWGhl9KzrF9pN+oqjuR0ZNFl5XqXbumz3rev3i2z3i2z3i+z1J9SfUH969G/UZt23sFpOrsDausX2bhA8iF3im9j2cRlXmXoVx1UmNJ66xPe5ea9Ru1drLOh4725xCzX7LlGDGdfIvYbBMzTcobXLPlNr3wCzV5Voa3h4REO9bkMRu0RH2jfGXMX1KqMjDqOYukRHlKix9BrckXrdxn+CXMan01zD9hrckXrdnhzc6x1p/zLDNeZaY9k7MuOZxy75iayiiulVRkcMwiV1if/LsaKG7jVGRzQCbYJcxn8acQ3Va4yOICT7E4m9I2NTtdVA4FdZtzzbpHvsZ7YS7G/uJdqW5thjbCV4EFIv0dYzYyuvleDkHnuJtps3DiBrCYv3vcp6PAg/giiBTFBlLQE/vCiBHFFlLQE/VlECWavKWgJ+OFEC+azK+lEM/FhECWSIKmsJ+GFFCTyvKmsJ+KFFCeSUKmuJ5kdfxJLtRVaAeK3xb9SDONRWjFS7sZXlbh2PMpRNKoXrDdJ2qTOk67IkjX5/6nJdoUc7lsvhfoCdiHLlGTZZ1k79W8q5xhQlTEInzFJoQgi3FVANZQRozYHt/cl48wLeWYW3fUOi4BQTkJwU0lq93/9BosmmL5L1rV7/b4Ym2/0i2/0iW+5NpufeIlvuLdLhdUAPqPegDlOr4edeo8j+3x2LeJW2DdP++qRqESyGxbu0ZawARpEw1stcJGExMIqksSjnIhHrglGkpQ5t+x5Im4b5/rBbctEtS7Ze9K+FdW1s6SXD1ku0pKJbdmwlawpp/V57eNSVcZV9w0NtogXTa7Yko1u27C04tODRAgKtb460003ES9vt0P1pVtmehu5Ps7Uc4JtCr6D36FWzPE3Dsg+w6GAxyn++rHFnkRDbDsD4P9MWoXgN8OXzvN9ZUae3ti7iThnl/wJ2THnB###2756:XlxV32DM 3fff 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###1348:XlxV32DM 3fff 52ceNq1WVtyLSEI3JIKiKwnj/0v4TpzUklOJQaUvh+pSk1maATtxg6/cqNKnZsoizR5Z2abz6yU+axx5VchNmEWmn+VQUQsRo342xvv/MLvrPPL+S6/ze9pPpu/CVMt5fHWAmkkkRr1MJYmsYQkjNWTWBxGkvSqNIzF6W7FdwZl9yCNMFbLdmujhjWJVa+3g1glva76iUV/YUkBdOz7WfbRWnp/lFgdb7QKrKSPttm3SUwtEn/+0IzV0n0Sv0/zqcyvZsBdvHs1Jbyamt4HX1xbllh6rSO9w2UDC9klD6vC1MpDyjKSfSK1P7mvzng1XUHdQCtptBFGy09odaOS+SmNNrAUyLQ+WgeqiI8mQIX00ThdyZ0dScDZ0EdrwOnQR8szyc4uKemzHd8lNX/X20LLMoluYGm6jrKB1mHKVlwsSfesbaAxUEd9NAIqm4/WYMrmY+VvfmUDrQCVzUMzoK55WAOoah6WAjXNw+pARfOwBKhnHtYV6X5zPvm64z0jKff5/O3es09Y1zcfipiLQfe+yeZBgRg/v5P7u5/POZTTz+/qIt5jms3WqUBzqqF4d0bX33/P6SgjXmZk4KorNB7dmoSL5622u3viwRe5GJqOQO7u7ovTVxer6umcfGbqAGbqAGb6vTZ9URsO5YRkpg5gpr3+387iQbwVrxA0Wt06t3VRdXQXFRrP0srAUD1uLs/5+ingnMiNJ89VerjnzjcKrovcdXmbY+Tl89rl/X9kUveyPzqnAuAOBfOZgrlItjpW3RlCNidVBfRgpGP4LOvvJwmtJcc74nKzhM4UckcKVM0ErGYCULNIDIOuS8DxWnqFFbA3yT0h6p7U7q5FwQykAAZSAAMpYEbYUw8J1WZDjTZWeMpuCmUjP6MKzcfnMwVP1grmJYVO6pvdDMX7u5/j8C64qj+D75bY+Yu2WD1zM8nOTgzgBt8L4FC/EHkgfbsB8JMGQOUGQOUG2Oke4Gl3AO6EA+x0j7TTPcAqNcAqNf7DiUGp1KPfOac7EkPTETynm5YMf+Z0R3LymCm2rgHIg45qc+p003KnnDFTrE4F2n/PXVrW7ICj7lii/EL1+p/yES891+jMDdjvm0LjWVoLGKrAvoc7ABPkAE+1tta7gM9Ny8nvtEZ27HMb2A82AItUMLNVgJdvYJ/bwC6TAeZvA8zfBnaIDOoQGeD2ZeAbgUEdJwNP4gbwvw3gfxvYZzKo/20A/9vS/veD6XL+9642MSAnf/6ugPm7pueIiJJIqB6ZCet5JWcsRkt9p6PZuiZ97t18/Em9gqfsCuWf3fwM281QvIzPvb4JRnzuf/uYkG4=###2216:XlxV32DM 3fff 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###2524:XlxV32DM 3fff 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###2504:XlxV32DM 3fff 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###2416:XlxV32DM 3fff 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###2872:XlxV32DM 3fff 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###2480:XlxV32DM 3fff 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###2848:XlxV32DM 3fff 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###984:XlxV32DM 940 3c0eNq1Vrtu3DAQ/Bl/AJcrPtUYSZPCRYzkB/gQSwMu4ka4f89QtqGxcClzvgWlnSH3yfVlybL/kbZks95erBvZQPEizWWJ6wHYCXiovzBTO5nLRsxiD4AUy2VrcbS18tZwMuVQxJPpFmaW65mVmMLMfmFudKYOYm7pwhxCflpiDntlLsR0zHRXZiAmJ3jEr0y1nfzUk6lKxtQzcDGmSsY0MfNqTAsxGzPrhRnIeuQzizmBSjnQZmkHhayddsTKwHYCiYrpuMqeKuJqIoAaxTWy4SlZPlCnh0JApO4M5K5PSgAF6DdKXqDk+UGtFjYGyN1oTiAY2hEpwMDdUAIBbNxRHGFQEh1FHh11RRUG6KiqDFCuKrkbPblb6C7FQsZLJ6DSnSobA56AxgAZL9QlyVBpS2SAGq4kBijAQrkqXHPPegrDU+AlURiV9ZRbtzHAk+wM7/b2W8LR1Pgz+680MHYhyJ+omjlYtGG8/hA01quEsdbFTLbJuIc71Nv6ICHNhqyLvCOGkD6v8yfjVm0K9yiDKHrM1itlMUyR5R5FTsr8PD5+35tIdq4tkuqA4ttednkvaFZMiZprhXRFbA0TH+BHMpDWxQDtkAFJubYAgb5hZxPIBmmQghOg78A79B38jn19nox9m4csEPtpxR6dbuECqoJvWh+sa1nr9CAclQLaTMtjZr6QWqHu2ZqsW7yHoW6xZOvdFZznjVxsugKCbSiccxbScVUjGsRnLx0jLmaPigV4EjCiA7ooGslRbI4+5YjpliTmFDQnpKMU+3m61e3okeXwR5AbazG/7Iy0zqGKNc3/VU/Wxaz7E+a67q9BV/wIQBNj8Ton/n1A/gXMnp7Wx4d1ZCLbarOd4+hqffkv1tFnP/dnVNisz+gmQdXNfHlFL863ZmqOWPA65mLfl/mDp8yHMNM1H9LM2XxoR0HxsM1Y8ID6I6AVlv4CUJ6QXA==
\ No newline at end of file
<HTML><HEAD><TITLE>Xilinx Design Summary</TITLE></HEAD>
<BODY TEXT='#000000' BGCOLOR='#FFFFFF' LINK='#0000EE' VLINK='#551A8B' ALINK='#FF0000'>
<TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='4'><B>spiloop Project Status</B></TD></TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Project File:</B></TD>
<TD>spiifc.xise</TD>
<TD BGCOLOR='#FFFF99'><b>Parser Errors:</b></TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Module Name:</B></TD>
<TD>spiloop</TD>
<TD BGCOLOR='#FFFF99'><B>Implementation State:</B></TD>
<TD>New</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Target Device:</B></TD>
<TD>xc6slx45-2csg324</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Errors:</B></LI></UL></TD>
<TD>&nbsp;</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Product Version:</B></TD><TD>ISE 13.2</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Warnings:</B></LI></UL></TD>
<TD>&nbsp;</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Design Goal:</B></dif></TD>
<TD>Balanced</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Routing Results:</B></LI></UL></TD>
<TD>
&nbsp;</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Design Strategy:</B></dif></TD>
<TD><A HREF_DISABLED='Xilinx Default (unlocked)?&DataKey=Strategy'>Xilinx Default (unlocked)</A></TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Timing Constraints:</B></LI></UL></TD>
<TD>&nbsp;</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Environment:</B></dif></TD>
<TD>&nbsp;</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Final Timing Score:</B></LI></UL></TD>
<TD>&nbsp;&nbsp;</TD>
</TR>
</TABLE>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='6'><B>Detailed Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=DetailedReports"><B>[-]</B></a></TD></TR>
<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD><B>Generated</B></TD>
<TD ALIGN=LEFT><B>Errors</B></TD><TD ALIGN=LEFT><B>Warnings</B></TD><TD ALIGN=LEFT COLSPAN='2'><B>Infos</B></TD></TR>
<TR ALIGN=LEFT><TD>Synthesis Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD>Translation Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD>Map Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD>Place and Route Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD>Power Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD>Post-PAR Static Timing Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD>Bitgen Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
</TABLE>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='3'><B>Secondary Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=SecondaryReports"><B>[-]</B></a></TD></TR>
<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD COLSPAN='2'><B>Generated</B></TD></TR>
</TABLE>
<br><center><b>Date Generated:</b> 03/07/2012 - 19:13:42</center>
</BODY></HTML>
\ No newline at end of file
This source diff could not be displayed because it is too large. You can view the blob instead.
<?xml version="1.0" encoding="UTF-8"?>
<wave_config>
<wave_state>
</wave_state>
<db_ref_list>
<db_ref path="C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/spiifc_tb_isim_beh.wdb" id="1" type="auto">
<top_modules>
<top_module name="glbl" />
<top_module name="spiifc_tb" />
</top_modules>
</db_ref>
</db_ref_list>
<WVObjectSize size="29" />
<wvobject fp_name="/spiifc_tb/SPI_MISO" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">SPI_MISO</obj_property>
<obj_property name="ObjectShortName">SPI_MISO</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb/txMemAddr" type="array" db_ref_id="1">
<obj_property name="ElementShortName">txMemAddr[11:0]</obj_property>
<obj_property name="ObjectShortName">txMemAddr[11:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb/txMemData" type="array" db_ref_id="1">
<obj_property name="ElementShortName">txMemData[7:0]</obj_property>
<obj_property name="ObjectShortName">txMemData[7:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb/rcMemAddr" type="array" db_ref_id="1">
<obj_property name="ElementShortName">rcMemAddr[11:0]</obj_property>
<obj_property name="ObjectShortName">rcMemAddr[11:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb/rcMemData" type="array" db_ref_id="1">
<obj_property name="ElementShortName">rcMemData[7:0]</obj_property>
<obj_property name="ObjectShortName">rcMemData[7:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb/rcMemWE" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">rcMemWE</obj_property>
<obj_property name="ObjectShortName">rcMemWE</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb/debug_out" type="array" db_ref_id="1">
<obj_property name="ElementShortName">debug_out[7:0]</obj_property>
<obj_property name="ObjectShortName">debug_out[7:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb/Reset" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">Reset</obj_property>
<obj_property name="ObjectShortName">Reset</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb/SysClk" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">SysClk</obj_property>
<obj_property name="ObjectShortName">SysClk</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb/SPI_CLK" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">SPI_CLK</obj_property>
<obj_property name="ObjectShortName">SPI_CLK</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb/SPI_MOSI" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">SPI_MOSI</obj_property>
<obj_property name="ObjectShortName">SPI_MOSI</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb/SPI_SS" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">SPI_SS</obj_property>
<obj_property name="ObjectShortName">SPI_SS</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb/SPI_CLK_en" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">SPI_CLK_en</obj_property>
<obj_property name="ObjectShortName">SPI_CLK_en</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb/fdRcBytes" type="array" db_ref_id="1">
<obj_property name="ElementShortName">fdRcBytes[31:0]</obj_property>
<obj_property name="ObjectShortName">fdRcBytes[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb/fdTxBytes" type="array" db_ref_id="1">
<obj_property name="ElementShortName">fdTxBytes[31:0]</obj_property>
<obj_property name="ObjectShortName">fdTxBytes[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb/dummy" type="array" db_ref_id="1">
<obj_property name="ElementShortName">dummy[31:0]</obj_property>
<obj_property name="ObjectShortName">dummy[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb/currRcByte" type="array" db_ref_id="1">
<obj_property name="ElementShortName">currRcByte[31:0]</obj_property>
<obj_property name="ObjectShortName">currRcByte[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb/rcBytesNotEmpty" type="array" db_ref_id="1">
<obj_property name="ElementShortName">rcBytesNotEmpty[31:0]</obj_property>
<obj_property name="ObjectShortName">rcBytesNotEmpty[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb/rcBytesStr" type="array" db_ref_id="1">
<obj_property name="ElementShortName">rcBytesStr[80:1]</obj_property>
<obj_property name="ObjectShortName">rcBytesStr[80:1]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb/uut/packetStart" type="logic" db_ref_id="1">
<obj_property name="DisplayName">label</obj_property>
<obj_property name="ElementShortName">packetStart</obj_property>
<obj_property name="ObjectShortName">packetStart</obj_property>
<obj_property name="label">packetStart</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb/uut/validSpiBit" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">validSpiBit</obj_property>
<obj_property name="ObjectShortName">validSpiBit</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb/uut/rcByteValid" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">rcByteValid</obj_property>
<obj_property name="ObjectShortName">rcByteValid</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb/uut/state" type="array" db_ref_id="1">
<obj_property name="ElementShortName">state[7:0]</obj_property>
<obj_property name="ObjectShortName">state[7:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb/uut/state_reg" type="array" db_ref_id="1">
<obj_property name="ElementShortName">state_reg[7:0]</obj_property>
<obj_property name="ObjectShortName">state_reg[7:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb/uut/rcByteValid" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">rcByteValid</obj_property>
<obj_property name="ObjectShortName">rcByteValid</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb/uut/rcByte" type="array" db_ref_id="1">
<obj_property name="ElementShortName">rcByte[7:0]</obj_property>
<obj_property name="ObjectShortName">rcByte[7:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb/uut/txBitIndex_reg" type="array" db_ref_id="1">
<obj_property name="ElementShortName">txBitIndex_reg[2:0]</obj_property>
<obj_property name="ObjectShortName">txBitIndex_reg[2:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb/uut/txMemAddr" type="array" db_ref_id="1">
<obj_property name="ElementShortName">txMemAddr[11:0]</obj_property>
<obj_property name="ObjectShortName">txMemAddr[11:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb/uut/txMemData" type="array" db_ref_id="1">
<obj_property name="ElementShortName">txMemData[7:0]</obj_property>
<obj_property name="ObjectShortName">txMemData[7:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
</wave_config>
......@@ -85,15 +85,19 @@ reg [7:0] rcByte_reg; // Register backing 'rcByte'
reg [2:0] rcBitIndex_reg; // Register backing 'rcBitIndex'
reg [AddrBits-1:0] rcMemAddr_reg; // Byte addr to write MOSI data to
reg [7:0] debug_reg; // register backing debug_out signal
reg [2:0] txBitIndex_reg; // Register backing txBitIndex
reg [AddrBits-1:0] txMemAddr_reg; // Register backing txAddr
//
// Wires
//
wire risingSpiClk; // Did the SPI_CLK rise since last SysClk cycle?
wire validSpiBit; // Are the SPI MOSI/MISO bits new and valid?
reg state; // Current state in the module's state machine (always @* effectively wire)
reg [7:0] state; // Current state in the module's state machine (always @* effectively wire)
wire rcByteValid; // rcByte is valid and new
wire [7:0] rcByte; // Byte received from master
wire [2:0] rcBitIndex; // Bit of rcByte to write to next
reg [2:0] txBitIndex; // bit of txByte to send to master next
reg [AddrBits-1:0] txMemAddr_oreg; // Wirereg piped to txMemAddr output
// Save buffered SPI inputs
always @(posedge SysClk) begin
......@@ -156,8 +160,29 @@ always @(posedge SysClk) begin
end
// Outgoing MISO data buffer management
// TODO: implement
assign SPI_MISO = 1'b0;
always @(*) begin
if (Reset || (state == `STATE_GET_CMD && rcByteValid && rcByte == `CMD_WRITE_START)) begin
txBitIndex <= 3'd7;
txMemAddr_oreg <= 0;
end else begin
txBitIndex <= txBitIndex_reg;
if (state == `STATE_WRITING && validSpiBit && txBitIndex == 0) begin
txMemAddr_oreg <= txMemAddr_reg + 1;
end else begin
txMemAddr_oreg <= txMemAddr_reg;
end
end
end
always @(posedge SysClk) begin
txMemAddr_reg <= txMemAddr;
if (validSpiBit && state == `STATE_WRITING) begin
txBitIndex_reg <= (txBitIndex == 0 ? 7 : txBitIndex - 1);
end else begin
txBitIndex_reg <= txBitIndex;
end
end
assign txMemAddr = txMemAddr_oreg;
assign SPI_MISO = txMemData[txBitIndex];
// State machine
always @(*) begin
......
......@@ -36,12 +36,12 @@ wire [7:0] rcMemData;
wire rcMemWE;
spiloopmem your_instance_name (
.clka(spi_clk), // input clka
.clka(SysClk), // input clka
.ena(1'b1), // input ena
.wea(rcMemWE), // input [0 : 0] wea
.addra(rcMemAddr), // input [11 : 0] addra
.dina(rcMemData), // input [7 : 0] dina
.clkb(spi_clk), // input clkb
.clkb(SysClk), // input clkb
.enb(1'b1), // input enb
.addrb(txMemAddr), // input [11 : 0] addrb
.doutb(txMemData) // output [7 : 0] doutb
......@@ -62,6 +62,7 @@ spiifc mySpiIfc (
.debug_out(debug_out)
);
assign leds = debug_out ;
//assign leds = debug_out ;
assign leds = txMemData;
endmodule
......@@ -60,7 +60,7 @@ always @(posedge SysClk) begin
end
buffermem spiMemTx (
.clka(spi_clk), // input clkb
.clka(SysClk), // input clkb
.ena(1'b1), // input enb
.wea(1'b0), // input [0 : 0] web
.addra(spi_addr), // input [11 : 0] addrb
......@@ -80,7 +80,7 @@ wire [ 7:0] spi_rcMem_data;
wire [ 7:0] debug_out;
wire [ 7:0] spi_rcMem_doutb_dummy;
buffermem spiMemRc (
.clka(spi_clk),
.clka(SysClk),
.ena(1'b1),
.wea(spi_rcMem_we),
.addra(spi_rcMem_addr),
......@@ -108,6 +108,6 @@ spiifc mySpiIfc (
.debug_out(debug_out)
);
assign leds = /* rcMem_douta[7:0] */ debug_out ;
assign leds = rcMem_douta[7:0] /* debug_out */;
endmodule
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment