Commit 651c43f0 authored by Mike Lyons's avatar Mike Lyons

fastclock approach verified single-byte receive at up to 40mbps

parent 62733d5b
......@@ -5,16 +5,7 @@
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
<messages>
<msg type="info" file="sim" num="0" delta="new" >Generating component instance &apos;<arg fmt="%s" index="1">buffermem</arg>&apos; of &apos;<arg fmt="%s" index="2">xilinx.com:ip:blk_mem_gen:6.2</arg>&apos; from &apos;<arg fmt="%s" index="3">C:\Xilinx\13.2\ISE_DS\ISE\coregen\ip\xilinx\primary\com\xilinx\ip\blk_mem_gen_v6_2\component.xml</arg>&apos;.
</msg>
<msg type="warning" file="sim" num="0" delta="new" ><arg fmt="%s" index="1">Can&apos;t find &apos;Verilog&apos; synthesis view; using general synthesis view</arg>
</msg>
<msg type="info" file="sim" num="0" delta="new" ><arg fmt="%s" index="1">Pre-processing HDL files for &apos;buffermem&apos;...</arg>
</msg>
<msg type="info" file="sim" num="0" delta="new" >Finished FLIST file generation.
<msg type="info" file="sim" num="0" delta="new" >Generating component instance &apos;<arg fmt="%s" index="1">spiloopmem</arg>&apos; of &apos;<arg fmt="%s" index="2">xilinx.com:ip:blk_mem_gen:6.2</arg>&apos; from &apos;<arg fmt="%s" index="3">C:\Xilinx\13.2\ISE_DS\ISE\coregen\ip\xilinx\primary\com\xilinx\ip\blk_mem_gen_v6_2\component.xml</arg>&apos;.
</msg>
</messages>
......
......@@ -8,7 +8,7 @@
<!-- Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -->
<messages>
<msg type="info" file="ProjectMgmt" num="1769" ><arg fmt="%s" index="1">Analyzing Verilog file \&quot;C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/buffermem.v\&quot; into library work</arg>
<msg type="info" file="ProjectMgmt" num="1769" ><arg fmt="%s" index="1">Analyzing Verilog file \&quot;C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/spiloopmem.v\&quot; into library work</arg>
</msg>
</messages>
......
<?xml version="1.0" encoding="UTF-8"?>
<spirit:design xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xmlns:xilinx="http://www.xilinx.com" >
<spirit:vendor>xilinx.com</spirit:vendor>
<spirit:library>project</spirit:library>
<spirit:name>coregen</spirit:name>
<spirit:version>1.0</spirit:version>
<spirit:componentInstances>
<spirit:componentInstance>
<spirit:instanceName>buffermem</spirit:instanceName>
<spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="blk_mem_gen" spirit:version="6.2" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COMPONENT_NAME">buffermem</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INTERFACE_TYPE">Native</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_TYPE">AXI4_Full</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_SLAVE_TYPE">Memory_Slave</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_AXI_ID">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_ID_WIDTH">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MEMORY_TYPE">True_Dual_Port_RAM</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ECCTYPE">No_ECC</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ECC">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SOFTECC">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_ERROR_INJECTION_PINS">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ERROR_INJECTION_TYPE">Single_Bit_Error_Injection</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_BYTE_WRITE_ENABLE">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.BYTE_SIZE">9</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ALGORITHM">Minimum_Area</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMITIVE">8kx2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ASSUME_SYNCHRONOUS_CLK">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_WIDTH_A">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_DEPTH_A">4096</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_WIDTH_A">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OPERATING_MODE_A">WRITE_FIRST</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_A">Use_ENA_Pin</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_WIDTH_B">32</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_WIDTH_B">32</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OPERATING_MODE_B">WRITE_FIRST</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_B">Use_ENB_Pin</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTA_OUTPUT_OF_MEMORY_PRIMITIVES">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTA_OUTPUT_OF_MEMORY_CORE">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_REGCEA_PIN">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTB_OUTPUT_OF_MEMORY_PRIMITIVES">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTB_OUTPUT_OF_MEMORY_CORE">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_REGCEB_PIN">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTA_INPUT_OF_SOFTECC">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTB_OUTPUT_OF_SOFTECC">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PIPELINE_STAGES">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.LOAD_INIT_FILE">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COE_FILE">no_coe_file_loaded</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FILL_REMAINING_MEMORY_LOCATIONS">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REMAINING_MEMORY_LOCATIONS">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_RSTA_PIN">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_MEMORY_LATCH_A">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PRIORITY_A">CE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_RESET_VALUE_A">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_RSTB_PIN">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_MEMORY_LATCH_B">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PRIORITY_B">CE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_RESET_VALUE_B">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_TYPE">SYNC</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ADDITIONAL_INPUTS_FOR_POWER_ESTIMATION">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_A_CLOCK">100</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_A_WRITE_RATE">50</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_B_CLOCK">100</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_B_WRITE_RATE">50</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_A_ENABLE_RATE">100</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_B_ENABLE_RATE">100</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COLLISION_WARNINGS">ALL</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DISABLE_COLLISION_WARNINGS">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DISABLE_OUT_OF_RANGE_WARNINGS">false</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<xilinx:instanceProperties xmlns:xilinx="http://www.xilinx.com">
<xilinx:projectOptions>
<xilinx:projectName>coregen</xilinx:projectName>
<xilinx:outputDirectory>./</xilinx:outputDirectory>
<xilinx:workingDirectory>./tmp/</xilinx:workingDirectory>
<xilinx:subWorkingDirectory>./tmp/_cg/</xilinx:subWorkingDirectory>
</xilinx:projectOptions>
<xilinx:part>
<xilinx:device>xc6slx45</xilinx:device>
<xilinx:deviceFamily>spartan6</xilinx:deviceFamily>
<xilinx:package>csg324</xilinx:package>
<xilinx:speedGrade>-2</xilinx:speedGrade>
</xilinx:part>
<xilinx:flowOptions>
<xilinx:busFormat>BusFormatAngleBracketNotRipped</xilinx:busFormat>
<xilinx:designEntry>Verilog</xilinx:designEntry>
<xilinx:asySymbol>true</xilinx:asySymbol>
<xilinx:flowVendor>Other</xilinx:flowVendor>
<xilinx:addPads>false</xilinx:addPads>
<xilinx:removeRPMs>false</xilinx:removeRPMs>
<xilinx:createNDF>false</xilinx:createNDF>
<xilinx:implementationFileType>Ngc</xilinx:implementationFileType>
<xilinx:formalVerification>false</xilinx:formalVerification>
</xilinx:flowOptions>
<xilinx:simulationOptions>
<xilinx:simulationModel>Behavioral</xilinx:simulationModel>
<xilinx:simulationLanguage>Verilog</xilinx:simulationLanguage>
<xilinx:foundationSym>false</xilinx:foundationSym>
</xilinx:simulationOptions>
<xilinx:packageInfo>
<xilinx:sourceCoreCreationDate>2011-03-11T08:24:14.000Z</xilinx:sourceCoreCreationDate>
</xilinx:packageInfo>
</xilinx:instanceProperties>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>spiloopmem</spirit:instanceName>
<spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="blk_mem_gen" spirit:version="6.2" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COMPONENT_NAME">spiloopmem</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INTERFACE_TYPE">Native</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_TYPE">AXI4_Full</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_SLAVE_TYPE">Memory_Slave</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_AXI_ID">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_ID_WIDTH">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MEMORY_TYPE">Simple_Dual_Port_RAM</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ECCTYPE">No_ECC</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ECC">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SOFTECC">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_ERROR_INJECTION_PINS">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ERROR_INJECTION_TYPE">Single_Bit_Error_Injection</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_BYTE_WRITE_ENABLE">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.BYTE_SIZE">9</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ALGORITHM">Minimum_Area</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMITIVE">8kx2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ASSUME_SYNCHRONOUS_CLK">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_WIDTH_A">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_DEPTH_A">4096</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_WIDTH_A">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OPERATING_MODE_A">WRITE_FIRST</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_A">Use_ENA_Pin</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_WIDTH_B">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_WIDTH_B">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OPERATING_MODE_B">WRITE_FIRST</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_B">Use_ENB_Pin</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTA_OUTPUT_OF_MEMORY_PRIMITIVES">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTA_OUTPUT_OF_MEMORY_CORE">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_REGCEA_PIN">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTB_OUTPUT_OF_MEMORY_PRIMITIVES">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTB_OUTPUT_OF_MEMORY_CORE">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_REGCEB_PIN">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTA_INPUT_OF_SOFTECC">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_PORTB_OUTPUT_OF_SOFTECC">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PIPELINE_STAGES">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.LOAD_INIT_FILE">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COE_FILE">no_coe_file_loaded</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FILL_REMAINING_MEMORY_LOCATIONS">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REMAINING_MEMORY_LOCATIONS">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_RSTA_PIN">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_MEMORY_LATCH_A">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PRIORITY_A">CE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_RESET_VALUE_A">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_RSTB_PIN">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_MEMORY_LATCH_B">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PRIORITY_B">CE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_RESET_VALUE_B">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_TYPE">SYNC</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ADDITIONAL_INPUTS_FOR_POWER_ESTIMATION">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_A_CLOCK">100</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_A_WRITE_RATE">50</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_B_CLOCK">100</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_B_WRITE_RATE">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_A_ENABLE_RATE">100</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PORT_B_ENABLE_RATE">100</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COLLISION_WARNINGS">ALL</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DISABLE_COLLISION_WARNINGS">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DISABLE_OUT_OF_RANGE_WARNINGS">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_FAMILY">spartan6</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_XDEVICEFAMILY">spartan6</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ELABORATION_DIR">C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INTERFACE_TYPE">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_TYPE">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_SLAVE_TYPE">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_ID">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_ID_WIDTH">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MEM_TYPE">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_BYTE_SIZE">9</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ALGORITHM">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_TYPE">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_LOAD_INIT_FILE">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INIT_FILE_NAME">no_coe_file_loaded</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_DEFAULT_DATA">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DEFAULT_DATA">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RST_TYPE">SYNC</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_RSTA">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RST_PRIORITY_A">CE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RSTRAM_A">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INITA_VAL">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_ENA">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_REGCEA">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_BYTE_WEA">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WEA_WIDTH">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_MODE_A">WRITE_FIRST</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_WIDTH_A">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_READ_WIDTH_A">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_DEPTH_A">4096</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_READ_DEPTH_A">4096</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ADDRA_WIDTH">12</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_RSTB">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RST_PRIORITY_B">CE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RSTRAM_B">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INITB_VAL">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_ENB">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_REGCEB">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_BYTE_WEB">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WEB_WIDTH">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_MODE_B">WRITE_FIRST</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_WIDTH_B">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_READ_WIDTH_B">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_DEPTH_B">4096</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_READ_DEPTH_B">4096</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ADDRB_WIDTH">12</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_MEM_OUTPUT_REGS_A">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_MEM_OUTPUT_REGS_B">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_MUX_OUTPUT_REGS_A">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_MUX_OUTPUT_REGS_B">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MUX_PIPELINE_STAGES">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_SOFTECC_INPUT_REGS_A">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_SOFTECC_OUTPUT_REGS_B">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_SOFTECC">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_INJECTERR">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SIM_COLLISION_CHECK">ALL</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COMMON_CLK">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DISABLE_WARN_BHV_COLL">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DISABLE_WARN_BHV_RANGE">0</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<xilinx:instanceProperties xmlns:xilinx="http://www.xilinx.com">
<xilinx:projectOptions>
<xilinx:projectName>coregen</xilinx:projectName>
<xilinx:outputDirectory>./</xilinx:outputDirectory>
<xilinx:workingDirectory>./tmp/</xilinx:workingDirectory>
<xilinx:subWorkingDirectory>./tmp/_cg/</xilinx:subWorkingDirectory>
</xilinx:projectOptions>
<xilinx:part>
<xilinx:device>xc6slx45</xilinx:device>
<xilinx:deviceFamily>spartan6</xilinx:deviceFamily>
<xilinx:package>csg324</xilinx:package>
<xilinx:speedGrade>-2</xilinx:speedGrade>
</xilinx:part>
<xilinx:flowOptions>
<xilinx:busFormat>BusFormatAngleBracketNotRipped</xilinx:busFormat>
<xilinx:designEntry>Verilog</xilinx:designEntry>
<xilinx:asySymbol>true</xilinx:asySymbol>
<xilinx:flowVendor>Other</xilinx:flowVendor>
<xilinx:addPads>false</xilinx:addPads>
<xilinx:removeRPMs>false</xilinx:removeRPMs>
<xilinx:createNDF>false</xilinx:createNDF>
<xilinx:implementationFileType>Ngc</xilinx:implementationFileType>
<xilinx:formalVerification>false</xilinx:formalVerification>
</xilinx:flowOptions>
<xilinx:simulationOptions>
<xilinx:simulationModel>Behavioral</xilinx:simulationModel>
<xilinx:simulationLanguage>Verilog</xilinx:simulationLanguage>
<xilinx:foundationSym>false</xilinx:foundationSym>
</xilinx:simulationOptions>
<xilinx:packageInfo>
<xilinx:sourceCoreCreationDate>2011-03-11T08:24:14.000Z</xilinx:sourceCoreCreationDate>
</xilinx:packageInfo>
</xilinx:instanceProperties>
<xilinx:generationHistory>
<xilinx:fileSet>
<xilinx:name>apply_current_project_options_generator</xilinx:name>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>customization_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./summary.log</xilinx:name>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Wed Mar 07 22:04:50 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x5BD51164</xilinx:checkSum>
<xilinx:generationId>generationid_615106653</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>model_parameter_resolution_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./summary.log</xilinx:name>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Wed Mar 07 22:04:54 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x5BD51164</xilinx:checkSum>
<xilinx:generationId>generationid_615106653</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>ip_xco_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./spiloopmem.xco</xilinx:name>
<xilinx:userFileType>xco</xilinx:userFileType>
<xilinx:timeStamp>Wed Mar 07 22:04:54 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xEE47DCC6</xilinx:checkSum>
<xilinx:generationId>generationid_615106653</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>associated_files_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./blk_mem_gen_ds512.pdf</xilinx:name>
<xilinx:userFileType>pdf</xilinx:userFileType>
<xilinx:timeStamp>Tue Jun 21 05:21:31 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0xA43B8952</xilinx:checkSum>
<xilinx:generationId>generationid_615106653</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./blk_mem_gen_v6_2_readme.txt</xilinx:name>
<xilinx:userFileType>txt</xilinx:userFileType>
<xilinx:timeStamp>Tue Jun 21 05:21:31 GMT 2011</xilinx:timeStamp>
<xilinx:checkSum>0x399E1D72</xilinx:checkSum>
<xilinx:generationId>generationid_615106653</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>ejava_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./spiloopmem_ste/example_design/bmg_wrapper.vhd</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>vhdl</xilinx:userFileType>
<xilinx:timeStamp>Wed Mar 07 22:04:55 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x7F99DB77</xilinx:checkSum>
<xilinx:generationId>generationid_615106653</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./spiloopmem_ste/example_design/spiloopmem_top.ucf</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>ucf</xilinx:userFileType>
<xilinx:timeStamp>Wed Mar 07 22:04:55 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x8915DFA1</xilinx:checkSum>
<xilinx:generationId>generationid_615106653</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./spiloopmem_ste/example_design/spiloopmem_top.vhd</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>vhdl</xilinx:userFileType>
<xilinx:timeStamp>Wed Mar 07 22:04:55 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xAFD5224E</xilinx:checkSum>
<xilinx:generationId>generationid_615106653</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./spiloopmem_ste/example_design/spiloopmem_top.xdc</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>xdc</xilinx:userFileType>
<xilinx:timeStamp>Wed Mar 07 22:04:55 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x78E2D49A</xilinx:checkSum>
<xilinx:generationId>generationid_615106653</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./spiloopmem_ste/implement/implement.sh</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Wed Mar 07 22:04:55 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x452D832D</xilinx:checkSum>
<xilinx:generationId>generationid_615106653</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./spiloopmem_ste/implement/planAhead_rdn.bat</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Wed Mar 07 22:04:55 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x9360D2FC</xilinx:checkSum>
<xilinx:generationId>generationid_615106653</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./spiloopmem_ste/implement/planAhead_rdn.sh</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Wed Mar 07 22:04:55 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x46307551</xilinx:checkSum>
<xilinx:generationId>generationid_615106653</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./spiloopmem_ste/implement/planAhead_rdn.tcl</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>tcl</xilinx:userFileType>
<xilinx:timeStamp>Wed Mar 07 22:04:55 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xEA63E4A4</xilinx:checkSum>
<xilinx:generationId>generationid_615106653</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./spiloopmem_ste/implement/xst.prj</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Wed Mar 07 22:04:55 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xE2E3ED6D</xilinx:checkSum>
<xilinx:generationId>generationid_615106653</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./spiloopmem_ste/implement/xst.scr</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Wed Mar 07 22:04:55 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x04885ED0</xilinx:checkSum>
<xilinx:generationId>generationid_615106653</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>ngc_netlist_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./spiloopmem.ngc</xilinx:name>
<xilinx:userFileType>ngc</xilinx:userFileType>
<xilinx:timeStamp>Wed Mar 07 22:05:31 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x64C2FFF1</xilinx:checkSum>
<xilinx:generationId>generationid_615106653</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>obfuscate_netlist_generator</xilinx:name>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>padded_implementation_netlist_generator</xilinx:name>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>instantiation_template_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./spiloopmem.veo</xilinx:name>
<xilinx:userFileType>veo</xilinx:userFileType>
<xilinx:timeStamp>Wed Mar 07 22:05:33 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xF74D6B91</xilinx:checkSum>
<xilinx:generationId>generationid_615106653</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>structural_simulation_model_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./spiloopmem.v</xilinx:name>
<xilinx:userFileType>verilog</xilinx:userFileType>
<xilinx:timeStamp>Wed Mar 07 22:05:33 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xC7F34980</xilinx:checkSum>
<xilinx:generationId>generationid_615106653</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>asy_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./spiloopmem.asy</xilinx:name>
<xilinx:userFileType>asy</xilinx:userFileType>
<xilinx:timeStamp>Wed Mar 07 22:05:39 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x83946D8F</xilinx:checkSum>
<xilinx:generationId>generationid_615106653</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./summary.log</xilinx:name>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Wed Mar 07 22:05:39 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x5BD51164</xilinx:checkSum>
<xilinx:generationId>generationid_615106653</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>xmdf_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./spiloopmem_xmdf.tcl</xilinx:name>
<xilinx:userFileType>tclXmdf</xilinx:userFileType>
<xilinx:userFileType>tcl</xilinx:userFileType>
<xilinx:timeStamp>Wed Mar 07 22:05:39 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xD51D4C14</xilinx:checkSum>
<xilinx:generationId>generationid_615106653</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>ise_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./_xmsgs/pn_parser.xmsgs</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Wed Mar 07 22:05:42 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x24C9CB1C</xilinx:checkSum>
<xilinx:generationId>generationid_615106653</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./spiloopmem.gise</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>gise</xilinx:userFileType>
<xilinx:timeStamp>Wed Mar 07 22:05:43 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xF8869E9A</xilinx:checkSum>
<xilinx:generationId>generationid_615106653</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./spiloopmem.xise</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>xise</xilinx:userFileType>
<xilinx:timeStamp>Wed Mar 07 22:05:43 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xAB45B7A7</xilinx:checkSum>
<xilinx:generationId>generationid_615106653</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>deliver_readme_generator</xilinx:name>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>flist_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./spiloopmem_flist.txt</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>txtFlist</xilinx:userFileType>
<xilinx:userFileType>txt</xilinx:userFileType>
<xilinx:timeStamp>Wed Mar 07 22:05:43 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xCE30C720</xilinx:checkSum>
<xilinx:generationId>generationid_615106653</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>view_readme_generator</xilinx:name>
</xilinx:fileSet>
</xilinx:generationHistory>
</spirit:vendorExtensions>
</spirit:componentInstance>
</spirit:componentInstances>
<spirit:vendorExtensions>
<xilinx:instanceProperties>
<xilinx:projectOptions>
<xilinx:projectName>coregen</xilinx:projectName>
<xilinx:outputDirectory>./</xilinx:outputDirectory>
<xilinx:workingDirectory>./tmp/</xilinx:workingDirectory>
<xilinx:subWorkingDirectory>./tmp/_cg/</xilinx:subWorkingDirectory>
</xilinx:projectOptions>
<xilinx:part>
<xilinx:device>xc6slx45</xilinx:device>
<xilinx:deviceFamily>spartan6</xilinx:deviceFamily>
<xilinx:package>csg324</xilinx:package>
<xilinx:speedGrade>-2</xilinx:speedGrade>
</xilinx:part>
<xilinx:flowOptions>
<xilinx:busFormat>BusFormatAngleBracketNotRipped</xilinx:busFormat>
<xilinx:designEntry>Verilog</xilinx:designEntry>
<xilinx:asySymbol>true</xilinx:asySymbol>
<xilinx:flowVendor>Other</xilinx:flowVendor>
<xilinx:addPads>false</xilinx:addPads>
<xilinx:removeRPMs>false</xilinx:removeRPMs>
<xilinx:createNDF>false</xilinx:createNDF>
<xilinx:implementationFileType>Ngc</xilinx:implementationFileType>
<xilinx:formalVerification>false</xilinx:formalVerification>
</xilinx:flowOptions>
<xilinx:simulationOptions>
<xilinx:simulationModel>Behavioral</xilinx:simulationModel>
<xilinx:simulationLanguage>Verilog</xilinx:simulationLanguage>
<xilinx:foundationSym>false</xilinx:foundationSym>
</xilinx:simulationOptions>
</xilinx:instanceProperties>
</spirit:vendorExtensions>
</spirit:design>
##
## Core Generator Run Script, generator for Project Navigator create command
##
proc findRtfPath { relativePath } {
set xilenv ""
if { [info exists ::env(XILINX) ] } {
if { [info exists ::env(MYXILINX)] } {
set xilenv [join [list $::env(MYXILINX) $::env(XILINX)] $::xilinx::path_sep ]
} else {
set xilenv $::env(XILINX)
}
}
foreach path [ split $xilenv $::xilinx::path_sep ] {
set fullPath [ file join $path $relativePath ]
if { [ file exists $fullPath ] } {
return $fullPath
}
}
return ""
}
source [ findRtfPath "data/projnav/scripts/dpm_cgUtils.tcl" ]
set result [ run_cg_create "xilinx.com:ip:blk_mem_gen:6.2" "spiloopmem" "Block Memory Generator" "Block Memory Generator (xilinx.com:ip:blk_mem_gen:6.2) generated by Project Navigator" xc6slx45-2csg324 Verilog ]
if { $result == 0 } {
puts "Core Generator create command completed successfully."
} elseif { $result == 1 } {
puts "Core Generator create command failed."
} elseif { $result == 3 || $result == 4 } {
# convert 'version check' result to real return range, bypassing any messages.
set result [ expr $result - 3 ]
} else {
puts "Core Generator create cancelled."
}
exit $result
##
## Core Generator Run Script, generator for Project Navigator edit command
##
proc findRtfPath { relativePath } {
set xilenv ""
if { [info exists ::env(XILINX) ] } {
if { [info exists ::env(MYXILINX)] } {
set xilenv [join [list $::env(MYXILINX) $::env(XILINX)] $::xilinx::path_sep ]
} else {
set xilenv $::env(XILINX)
}
}
foreach path [ split $xilenv $::xilinx::path_sep ] {
set fullPath [ file join $path $relativePath ]
if { [ file exists $fullPath ] } {
return $fullPath
}
}
return ""
}
source [ findRtfPath "data/projnav/scripts/dpm_cgUtils.tcl" ]
set result [ run_cg_edit "spiloopmem" xc6slx45-2csg324 Verilog ]
if { $result == 0 } {
puts "Core Generator edit command completed successfully."
} elseif { $result == 1 } {
puts "Core Generator edit command failed."
} elseif { $result == 3 || $result == 4 } {
# convert 'version check' result to real return range, bypassing any messages.
set result [ expr $result - 3 ]
} else {
puts "Core Generator edit cancelled."
}
exit $result
Version 4
SymbolType BLOCK
TEXT 32 32 LEFT 4 spiloopmem
RECTANGLE Normal 32 32 544 1376
LINE Wide 0 80 32 80
PIN 0 80 LEFT 36
PINATTR PinName addra[11:0]
PINATTR Polarity IN
LINE Wide 0 112 32 112
PIN 0 112 LEFT 36
PINATTR PinName dina[7:0]
PINATTR Polarity IN
LINE Normal 0 144 32 144
PIN 0 144 LEFT 36
PINATTR PinName ena
PINATTR Polarity IN
LINE Wide 0 208 32 208
PIN 0 208 LEFT 36
PINATTR PinName wea[0:0]
PINATTR Polarity IN
LINE Normal 0 272 32 272
PIN 0 272 LEFT 36
PINATTR PinName clka
PINATTR Polarity IN
LINE Wide 0 432 32 432
PIN 0 432 LEFT 36
PINATTR PinName addrb[11:0]
PINATTR Polarity IN
LINE Normal 0 496 32 496
PIN 0 496 LEFT 36
PINATTR PinName enb
PINATTR Polarity IN
LINE Normal 0 624 32 624
PIN 0 624 LEFT 36
PINATTR PinName clkb
PINATTR Polarity IN
LINE Wide 576 368 544 368
PIN 576 368 RIGHT 36
PINATTR PinName doutb[7:0]
PINATTR Polarity OUT
<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
<generated_project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
<!-- -->
<!-- For tool use only. Do not edit. -->
<!-- -->
<!-- ProjectNavigator created generated project file. -->
<!-- For use in tracking generated file and other information -->
<!-- allowing preservation of process status. -->
<!-- -->
<!-- Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -->
<version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
<sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="spiloopmem.xise"/>
<files xmlns="http://www.xilinx.com/XMLSchema">
<file xil_pn:fileType="FILE_USERDOC" xil_pn:name="blk_mem_gen_v6_2_readme.txt" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_ASY" xil_pn:name="spiloopmem.asy" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_SYMBOL" xil_pn:name="spiloopmem.sym" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VEO" xil_pn:name="spiloopmem.veo" xil_pn:origination="imported"/>
</files>
<transforms xmlns="http://www.xilinx.com/XMLSchema"/>
</generated_project>
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$0`;44<,[o}e~g`n;"2*732(-80!<74012345678=:0<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;=95>2;KMTPR=imnym1?>:1<20>752@D[YY4ndepa847=87;?7<:5IORVP?GCL[K7=>4?>06851<NFY__6LJKRC>27?69991:6D@_UU8tad:7294:>6==:HLSQQ<CAH68=7>113906?OIX\^1HDL33083:45<;;0DYY^ZT;FLE956294:?6==:NWWTPR=LFH7?<4?>0380?OIX\^1HD^N<283:47<<3CE\XZ5DHRA86<768:087GAPTV9S@G;;3:5==5;:HLSQQ<PMK686=0>2:69KPRW]]0OC]O33;2=57=32F__\XZ5DNRA86<76l1=av;5bqd772*:8;047GAPTV9`lhf4>0;2<=48;MVPUSS2me~xo28:1<;?DBCZH6;245NDEPB846912KOH_O310<:?DBCZH6:>3l4AEFQE97429427LJKR@>27;><IMNYM1?18:CG@WG;:720MIJ]A=1=<>GCL[K78364AEFQE93902KOH_O36?:8EABUI5=546OKDSC?<;><IMNYM1718:CG@WD;8730MIJ]B=33:<=FLMXI0<?19:CG@WD;9;4i7LJKRC>27?6912KOH_L312<;?DBCZK6:255NDEPA878?3HNO^O2<>99B@ATE4=437LJKRC>6:==FLMXI0;07;@FGVG:0611JHI\M<9<;?DBCZK622>5NLM:8FPUXAGLD>6MJ139@L@ELWECHIC]J_U[SA1=DDB:=7NBD1925?FJL:>:>7NBD2Y:8GIM5P82;96MCK827?FJLI<1H@FO>7:AOOD7C:>1H@FO>D558GIMF9M2?7NBDB49@HND6=2IGGN?:;BNH@43<KEAOZn5LLJFU[AOQAMO>7NBDFY:8GIMAP82;56M@MLKWP@B6<2ID^HQHEOGQEQOHFVCEJB94CSGBP@Bd3MK_MRYFDUJ\Ef=CI]KT[DJ[H^@5?AOF494<7IGN<02=3>BNI5;:2:5KI@>26;1<L@K7=>08;EKB8429?2NBM1?:>69GMD:6>7=0HDO316<4?AOF4825;6JFA=3::3=CAH6:2:5KI@>14;1<L@K7><08;EKB8749?2NBM1<<>69GMD:5<7=0HDO324<4?AOF4;<5;6JFA=04:2=CAH694394DHC?6<813MCJ0?08;EKB866912NBM1=>:1<4?AOF4:;5:6JFA=1=2>BNI5>5:6JFA=7=2>BNI5<5:6JFA=5=2>BNI525:6JFA=;=2>BNJ5:5;6JFB=33:2=CAK6:=394DH@?57803MCI0<=17:FJF9736>1OEO2>5?58@LD;9?4<7IGM<05=3>BNJ5;32:5KIC>2=;0<L@H7=394DH@?65803MCI0??17:FJF9456>1OEO2=3?58@LD;:=4<7IGM<37=3>BNJ58=2:5KIC>13;1<L@H7>508;EKA87?9>2NBN1<17:FJF957601OEO2<1;2=3>BNJ59:2;5KIC>0:3=CAK6?2;5KIC>6:3=CAK6=2;5KIC>4:3=CAK632;5KIC>::2=CAYK7<394DHRB84803MC[M1<19:FJTD:4294<7IG_A=1=3>BNXK6;2:5KIQ@?5;1<L@ZI0?06;EKSF95=87=0HD^M<2<5?AIF494<7IAN<02=3>BHI5;:2:5KO@>26;1<LFK7=>08;EMB8429?2NDM1?:>69GKD:6>7=0HBO316<4?AIF4825;6J@A=3::3=CGH6:2:5KO@>14;1<LFK7><08;EMB8749?2NDM1<<>69GKD:5<7=0HBO324<4?AIF4;<5;6J@A=04:2=CGH694394DNC?6<813MEJ0?08;EMB866912NDM1=>:1<4?AIF4:;5:6J@A=1=2>BHI5>5:6J@A=7=2>BHI5<5:6J@A=5=2>BHI525:6J@A=;=3>BHIVXNK;5KOC>3:2=CGK6:<394DN@?54803MEI0<<17:FLF9746>1OCO2>4?58@JD;9<4<7IAM<04=3>BHJ5;<2:5KOC>2<;1<LFH7=409;EMA84803MEI0?>17:FLF9466>1OCO2=2?58@JD;::4<7IAM<36=3>BHJ58>2:5KOC>12;1<LFH7>:08;EMA87>9?2NDN1<6>79GKG:56>1OCO2<0?;8@JD;;80;2:5KOC>05;0<LFH7?384DN@?0;0<LFH79384DN@?2;0<LFH7;384DN@?<;0<LFH75394DN@\V@A03ME[M1>17:FLTD:66>1OC]O32?;8@JVF4:0;2:5KOQC?7;1<LFZI0=08;EMSF979?2ND\O2=>89GKUD;;3:5;6J@PC>0:6=BFH90ICLm;DL\VVRUIDUJn6KA_SQWVDKXJ<1MMA]J1:E0?BHC02CEEY^P01:8MKOSXV::46GAIUR\47><AGC_\R><8:KMMQVX8=20ECG[P^26<>OIA]ZT<;64IOKWTZ60?2CEEYQ?069JJLRX88=0ECG[_104?LHN\V:8;6GAIU]302=NF@^T<894IOKW[5003@DBXR>87:KMMQY70>1BBDZP0858MKOSW9K<7D@FT^2A3>OIA]U;O:5FNHV\4A1<AGC_S=K8;HLJPZ6A?2CEEYQ>069JJLRX98=0ECG[_004?LHN\V;8;6GAIU]202=NF@^T=894IOKW[4003@DBXR?87:KMMQY60>1BBDZP1858MKOSW8K<7D@FT^3A3>OIA]U:O:5FNHV\5A1<AGC_S<K8;HLJPZ7A?2CEEYQ=069JJLRX:8=0ECG[_304?LHN\V88;6GAIU]102=NF@^T>894IOKW[7003@DBXR<87:KMMQY50>1BBDZP2858MKOSW;K<7D@FT^0A3>OIA]U9O:5FNHV\6A1<AGC_S?K8;HLJPZ4A?2CEEYQ<069JJLRX;8=0ECG[_204?LHN\V98;6GAIU]002=NF@^T?894IOKW[6003@DBXR=87:KMMQY40>1BBDZP3858MKOSW:K<7D@FT^1A3>OIA]U8O:5FNHV\7A1<AGC_S>K8;HLJPZ5A>2CEEYQN6:KMMQYE12CEEYQIIMG5?IIFLLN=7AALKDF4?II@AJKGo6CnjnpUawunggi0Ad``rWgqwlii:2D:;6@JTVMQO1=IGGO37B 91yf\H1=WI[^j7]GA_CWPMA^e3YCESO[\N@OF2>VTLFDNm6\NMC35FRCU>2XNKNKN6:PFCFCE<2XXXL:4RRVA3>TT\]S[Ih5]SU]SVLIUA]STMh5]SU]SVLIUA]STN<?4SIPFCZC_\[KHXR@HCd9PLWC@WDCES^GUE018WLKXKFFGE^G@N^AKAFMf3ZCFSIKYIBG5?VIRZJO37^^NRUCM22=TZ_KGSL94SSTBHZD13Z^JXX];;R[MG1=SQYO<:6[?/yqw[gjlWdofSjkaescwkw)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+sgkam$hy| r`ookjv\8T$ym` }/r4\jjoia}$ym`!\DQ,PMHVKMVZYE@?7.scn33=R8&rxxRlck^ofiZabflxjxb| gocwmsceen$emygye^`ooZkbeVmnb"xnlhf-gvru'{kf`ba[0_-vdk)z&y=Scafnhv-vdk([MZ%_DC_LD]SVLK60'xjan5ZSDP\RLUNJEO27[GJW^VZT@0<_LK7<384WDC?5;0<_LK7>364WDC?7?69>2]NM1=16:UFF969>2]NN1?16:UFF94902]NN1=50?48S@D;;7h0[_G[E^DJVVRd3^XBXHQBOEG\Ef=PZ@^NS@AKE^@2`>^ND@DS!UJM 1,2$VRRJ):%=-O\CHK5?]USD@H<7U][_FLGf>^XKFXNSD@IO79[`gYNlo1SheQ_rhoUawungg;;7Ujb_LcikwPbzzcdb<>4Xeo\Ilhhz_oydaa3:Zpp6=^mz20mij}a=2==>gcl{k7==0m;`fgvd:693:556okdsc?548?3hno~l2>>99b`atf4;437ljkr`>0:==flmxj0907;`fgvd:2611jhi|n<7<;?dbczh6<255ndepb8=8?3hno~l26>99b`ate49427ljkrc>24;d<imnyn1?>:1<:?dbczk6:=364aefqf97902kohl32?:8eabuj59546okds`?0;><imnyn1;18:cg`wd;>720mij}b=5=<>gcl{h74364aefqf9?9911i`fQbel]dakY`mgoyenQlsup2<>dkcVgnaRijn^rqmhYpam~cS<?7;cnh[hcjWnoeS}|fm^uj`qnX:8n0naePmdo\c`hXx{cfSz|npqgq[v0X98n0naePmdo\c`hXx{cfSz|npqgq[v0X:o1i`fQbel]dakYsgy;;7obd_lgn[bciW<T>?m4bmi\i`kXoldTz;Q=,!Zjhlh(JEYI-Ijndpbpjt'9:$9=95mlj]nahY`mgU}:R<Pxrv27>dkcVgnaRgaptv\fiidc8>0naePmdo\kprw}}Ui`bmd4:aood2<keai;6jfn`>3:2=cagk7=394dhlb87803mcem1=17:fjjd:36>1oeco35?58`lhf4?427igaa=594;1<l`dj0:07;emvpg:7611ocxzm<0<;?air|k69255kotva868?3me~xo2;>99gkpre4<437iaztc>5:d=cg|~i0:4?>99gkpre4>487h`n3:gmf==iojh~eajn;rrjhjiwelg?h:5|phnlkukbe%hggRcjm^efjZp1W;&poRokdsc\slbs`4;9 nQndepa[roc|a7:>!mPamelvlroe4;'oRowi^kg[roc|a7? nQnxh]phdpbW}s{i0>#c^c{mZr~xl7: nQmyug\wl|b50&hSnabmnl\gim:8%iThhhnumv\`drf59&hSig|acnf[rgufVhczRm`lm?3(fYcazki`hQxasl\fmpXzhdli0>#c^goegiui}cdbRjfr<A9+Pubzz%ffaw`nr-tkwmtxhin#{]_I.rqkohf~&r{"~losgp+vvnaoiTz<Q?0^c,``pk'yxdfcoy/hr`kwcXl`x$x`~ _be,(fYaidcgu0}asvbj3*dWakxSlvf_hf>4)eX`hyTico21-a\lduXmgh6=!mPh`q\mklbk}oy~0>#c^jbwZkbeVe~x}{{_sgdwZg:8%iTdl}Pmdo\kprw}}Uyij}Pb<2/gZnf{Vg~tRaztqww[wc`{Vk6<!mPh`q\ip~Xg|~{yyQ}efq\f86+kVbjR|jgbgb95*dWakxSkhcd`>4)eX`hyT~~zn=1.`[mgtW{yn0>#c^jbwZuhn}ohoRgaptv\v`atWh7; nQgar]pkcrbkjUdyy~zt^pfcvYe59&hSd`ft^djh`Yiido6bbQlod]emicXdfkoii"l_hljpdYqie7; nQfnhva[sgk59&hSd`{esdbg`Ysqyo6<!mPlncg[lhn|Vlb`h3?,b]nahYsqyo6=!mPmtz\tlvbd`dnS~zngdq>4)eXx{cfSywe<3/gZtbimUoi}zg_`?74<0+kVxnmiQkeqvk[g;380<'oR|jae]tmaroWh73 nQ}e`f\slbs`Vh64!mPrrv\twohz`~rSl3LE-a\vvrXx{cd~dzv_c?@A)eXzz~Txt~j=R[MG)eXzz~ym`Qn=1.`[wuszhgTn0>#c^qjiZehdecxeb`Pcig`o8GKD%iTy~kPbxvf[rcf59&hSx}j_c{waZqbj4:'oR{|e^ffbdsk|Vnjxl3?,b]vw`Ybkj7; nQzsd]pkcrbkj7; nQxe`]tmaro58&hSzkm_vkgpm;6$jU|~dzj_egspmYf5=:2:!mPwskwaZbbx}bTn0:?97.`[rtn|lUfcikPa<UQMQCXN@XXX!mPwskwaZkhllUi1Z\FTD]EMWUS$jU|~dzj_vkgpmYf51&hSz|ftd]tmaroWk73 nQwddtjg``fe`fr1~~nrucm2x7b3zzb`bamdo/fimXelgTkh`Pv7]1(~{?3~oj0=4?>79tad:76tJK|???;AB{5g5=N3>1=v];d;341?73<3;8?=<i3;16`0b|f8=86<5a16692>"6?80::i5rS5`9523=9=>1=>=?2g1970b1j2Y:?n4>b383>4548;l86>;k719P0g<6j;0;6<=<03d0>63c?:1o=o=50;395~U3l3;<97?;4;30754a;39>h;;4vU361?6=93;1>hu\4e8230<6<=0:?>>=f2801a023-;>j7?<e:T237<5s|;8j7?4u063>5=z,8>>6<=4b0`0>5<5l391>huG14g8 43e28h87W=::4y;>1<6<3?1=84r$053>4??3-9<6<l:;%6`>4d33-;>>7?4$072>4113`;i;7>5$064>4d13g;?:7>4;h34e?6=,8><6<96;o372?6<3`;<47>5$064>41>3g;?:7?4;h3;7?6=,8><6<96;o372?4<3`;3>7>5$064>41>3g;?:7=4;h3;5?6=,8><6<96;o372?2<3`;3<7>5$064>41>3g;?:7;4;h34b?6=,8><6<96;o372?0<3`;<i7>5$064>41>3g;?:794;h34`?6=,8><6<96;o372?><3`;<o7>5$064>41>3g;?:774;h34f?6=,8><6<96;o372?g<3`;<;7>5$064>41>3g;?:7l4;h3b2?6=,8><6<o:;o372?6<3`;j87>5$064>4g23g;?:7?4;h3b7?6=,8><6<o:;o372?4<3`;j>7>5$064>4g23g;?:7=4;h3b5?6=,8><6<o:;o372?2<3`;j<7>5$064>4g23g;?:7;4;h3:b?6=,8><6<o:;o372?0<3`;2i7>5$064>4g23g;?:794;h3;3?6=,8><6<69;o372?6<3`;397>5$064>4>13g;?:7?4;h3:4?6=,8><6<69;o372?4<3`;3j7>5$064>4>13g;?:7=4;h3;a?6=,8><6<69;o372?2<3`;3h7>5$064>4>13g;?:7;4;h3;g?6=,8><6<69;o372?0<3`;3n7>5$064>4>13g;?:794;h3;e?6=,8><6<69;o372?><3`;357>5$064>4>13g;?:774;h3;<?6=,8><6<69;o372?g<3`;387>5$064>4>13g;?:7l4;h3:g?6=3`;i<7>5;h3:`?6=3`;i=7>5;n3bb?6=,8><6<oj;o372?6<3f;jh7>5$064>4gb3g;?:7?4;n3bg?6=,8><6<oj;o372?4<3f;jn7>5$064>4gb3g;?:7=4;n3be?6=,8><6<oj;o372?2<3f;j57>5$064>4gb3g;?:7;4;n3b<?6=,8><6<oj;o372?0<3f;j;7>5$064>4gb3g;?:794;c35b?6=93:1<v*>5c8e4>N6>l1C=8k4odd94?=zj8?h6=4mc;294~"6=k0:845G17g8L43b3S9>6nu9:68g>47=m3;96k4m:`8`>46=13we8h4;;o6e>1=ijm0;7cji:19'af<bm2.nh7kj;%d2>6=#n;087)h<:29'b1<43-l>6>5+f780?!`02:1/j54<;%d:>6=#nh087)hm:29'bf<43-lo6>5+fd80?!`a2:1/==>53:&244<43-;;>7=4$020>6=#99>1?6*>0480?!77>390(<>8:29'55>=;2.:<44<;%33e?5<,8:i6>5+11a97>"68m087)??e;18 46a2:1/=<>53:&254<43-;:>7=4$030>6=#98>1?6*>1480?!76>390(<?8:29'54>=;2.:=44<;%32e?5<,8;i6>5+10a97>"69m087)?>e;18 47a2:1/=?>53:&264<43-;9>7=4$000>6=#9;>1?6*>2480?!75>390(<<8:29'57>=;2.:>44<;%31e?5<,88i6>5+13a97>"6:m087)?=e;18 44a2:1/=>>53:&274<43-;8>7=4$010>6=#9:>1?6*>3480?!74>390(<=8:29'56>=;2.:?44=;%30e?4<,89i6<:<;%37a?72i2.:8k4<6:&215<4>2.:9>4<4:&211<6=?1/=8952:&21=<53-;=n7?9a:&22f<6>h1b=9o50;9j7`<722cnn7>5;h37`?6=3`>86=44i06a>5<<a8>h6=44idc94?=n1?0;6)?;7;;6?k73>3:07d7;:18'511=1<1e=9851:9j=6<72-;?;77:;o372?4<3`396=4+1559=0=i9=<1?65f17694?"6<>0::>5a15494>=n9?81<7*>468226=i9=<1=65f17394?"6<>0::>5a15496>=n9?:1<7*>468226=i9=<1?65`b483>!73?3h?7c?;6;28?jd4290/=995b59m510=921dn<4?:%373?d33g;?:7<4;n`3>5<#9==1n95a15497>=hio0;6)?;7;`7?k73>3>07boj:18'511=j=1e=9855:9lea<72-;?;7l;;o372?0<3fkh6=4+1559f1=i9=<1;65`ac83>!73?3h?7c?;6;:8?jgf290/=995b59m510=121dm44?:%373?d33g;?:7o4;nc;>5<#9==1n95a1549f>=hi?0;6)?;7;`7?k73>3i07bo::18'511=j=1e=985d:9le1<72-;?;7l;;o372?c<3fk86=4+1559f1=i9=<1j65`a383>!73?3h?7c?;6;33?>if93:1(<:8:c68j42128;07bo?:18'511=j=1e=9851398k<`=83.:8:4m4:l203<6;21d5h4?:%373?d33g;?:7?;;:m:`?6=,8><6o:4n065>43<3fhh6=4+1559f1=i9=<1=;54oc`94?"6<>0i86`>47823>=hjh0;6)?;7;`7?k73>3;376am9;29 4202k>0b<:9:0;8?jd?290/=995b59m510=9h10co950;&202<e<2d:8;4>b:9lf3<72-;?;7l;;o372?7d32ei>7>5$064>g2<f8>=6<j4;nc4>5<#9==1n95a15495`=<g0i1<7*>468a0>h6<?0:j65f1c83>!73?3;j7c?;6;28?l7>290/=9951`9m510=921b=54?:%373?7f3g;?:7<4;h34>5<#9==1=l5a15497>=n:=0;6)?;7;3b?k73>3>07d<<:18'511=9h1e=9855:9j67<72-;?;7?n;o372?0<3`8:6=4+15595d=i9=<1;65f2183>!73?3;j7c?;6;:8?l7a290/=9951`9m510=121b=h4?:%373?7f3g;?:7o4;h3g>5<#9==1=l5a1549f>=n9j0;6)?;7;3b?k73>3i07d?9:18'511=9h1e=985d:9j6d<72-;?;7<6;o372?6<3`836=4+15596<=i9=<1=65f2683>!73?3827c?;6;08?l41290/=995289m510=;21b?>4?:%373?4>3g;?:7:4;h11>5<#9==1>45a15491>=n;80;6)?;7;0:?k73>3<07d=?:18'511=:01e=9857:9j6c<72-;?;7<6;o372?><3`8n6=4+15596<=i9=<1565f2e83>!73?3827c?;6;c8?l4d290/=995289m510=j21b>o4?:%373?4>3g;?:7m4;h06>5<#9==1>45a1549`>=n0h0;6)?;7;::?k73>3:07d67:18'511=001e=9851:9j<3<72-;?;766;o372?4<3`2>6=4+1559<<=i9=<1?65f8583>!73?3227c?;6;68?l>4290/=995889m510==21b4?4?:%373?>>3g;?:784;h:2>5<#9==1445a15493>=n090;6)?;7;::?k73>3207d9i:18'511=001e=9859:9j3`<72-;?;766;o372?g<3`=o6=4+1559<<=i9=<1n65f7c83>!73?3227c?;6;a8?l1f290/=995889m510=l21b;44?:%373?>>3g;?:7k4;h5;>5<#9==1445a1549b>=n?>0;6)?;7;::?k73>3;;76g86;29 4202130b<:9:038?l12290/=995889m510=9;10e::50;&202<?12d:8;4>3:9j36<72-;?;766;o372?7332c<>7>5$064>=?<f8>=6<;4;h;2>5<#9==1445a154953=<a0:1<7*>468;=>h6<?0:;65f8g83>!73?3227c?;6;3;?>o?m3:1(<:8:9;8j42128307d6k:18'511=001e=9851`98m=e=83.:8:479:l203<6j21b4o4?:%373?>>3g;?:7?l;:k;3?6=,8><6574n065>4b<3`=h6=4+1559<<=i9=<1=h54i6394?"6<>0356`>4782b>=hm=0;6)?;7;g0?k73>3:07bk=:18'511=m:1e=9851:9la4<72-;?;7k<;o372?4<3fo;6=4+1559a6=i9=<1?65f9c83>!73?33j7c?;6;28?l?>290/=9959`9m510=921b554?:%373??f3g;?:7<4;h;4>5<#9==15l5a15497>=hm00;6)?;7;g;?k73>3:07bk8:18'511=m11e=9851:9la3<72-;?;7k7;o372?4<3fo>6=4+1559a==i9=<1?65`d683>!73?3n=7c?;6;28?jb2290/=995d79m510=921dh>4?:%373?b13g;?:7<4;nf1>5<#9==1h;5a15497>=hl80;6)?;7;f5?k73>3>07bj?:18'511=l?1e=9855:9lgc<72-;?;7j9;o372?0<3fin6=4+1559`3=i9=<1;65`ce83>!73?3n=7c?;6;:8?jed290/=995d79m510=121doo4?:%373?b13g;?:7o4;nab>5<#9==1h;5a1549f>=hk10;6)?;7;f5?k73>3i07bm8:18'511=l?1e=985d:9lg3<72-;?;7j9;o372?c<3fi>6=4+1559`3=i9=<1j65`c583>!73?3n=7c?;6;33?>id;3:1(<:8:e48j42128;07bm=:18'511=l?1e=9851398kf7=83.:8:4k6:l203<6;21do=4?:%373?b13g;?:7?;;:mab?6=,8><6i84n065>43<3fnn6=4+1559`3=i9=<1=;54oef94?"6<>0o:6`>47823>=hlj0;6)?;7;f5?k73>3;376akb;29 4202m<0b<:9:0;8?jbf290/=995d79m510=9h10ci750;&202<c>2d:8;4>b:9l`=<72-;?;7j9;o372?7d32eo87>5$064>a0<f8>=6<j4;na:>5<#9==1h;5a15495`=<gko1<7*>468g2>h6<?0:j65f17;94?"6<>0::55a15494>=n9?=1<7*>46822==i9=<1=65f17494?"6<>0::55a15496>=n9??1<7*>46822==i9=<1?65f6883>!73?3<37c?;6;28?l00290/=995699m510=921b:84?:%373?0?3g;?:7<4;h47>5<#9==1:55a15497>=n>:0;6)?;7;4;?k73>3>07d8=:18'511=>11e=9855:9j24<72-;?;787;o372?0<3`<;6=4+15592==i9=<1;65f5g83>!73?3<37c?;6;:8?l3b290/=995699m510=121b9i4?:%373?0?3g;?:7o4;h7`>5<#9==1:55a1549f>=n=h0;6)?;7;4;?k73>3i07d;6:18'511=>11e=985d:9j1=<72-;?;787;o372?c<3`?<6=4+15592==i9=<1j65f5783>!73?3<37c?;6;33?>o2=3:1(<:8:7:8j42128;07d;;:18'511=>11e=9851398m05=83.:8:498:l203<6;21b9?4?:%373?0?3g;?:7?;;:k65?6=,8><6;64n065>43<3`=;6=4+15592==i9=<1=;54i7d94?"6<>0=46`>47823>=n>l0;6)?;7;4;?k73>3;376g9d;29 4202?20b<:9:0;8?l0d290/=995699m510=9h10e;l50;&202<102d:8;4>b:9j2d<72-;?;787;o372?7d32c=:7>5$064>3><f8>=6<j4;h7a>5<#9==1:55a15495`=<a<:1<7*>4685<>h6<?0:j65rb07g>5<ek3:1<v*>5c820<=O9?o0D<;j;[16>f}12>0o6<?5e;31>c<e2h0h6<>59;m0`<33g>m695abe83?kba291/in4je:&f`?cb3-l:6>5+f380?!`42:1/j94<;%d6>6=#n?087)h8:29'b=<43-l26>5+f`80?!`e2:1/jn4<;%dg>6=#nl087)hi:29'556=;2.:<<4<;%336?5<,8:86>5+11697>"68<087)??6;18 4602:1/==653:&24<<43-;;m7=4$02a>6=#99i1?6*>0e80?!77m390(<>i:29'546=;2.:=<4<;%326?5<,8;86>5+10697>"69<087)?>6;18 4702:1/=<653:&25<<43-;:m7=4$03a>6=#98i1?6*>1e80?!76m390(<?i:29'576=;2.:><4<;%316?5<,8886>5+13697>"6:<087)?=6;18 4402:1/=?653:&26<<43-;9m7=4$00a>6=#9;i1?6*>2e80?!75m390(<<i:29'566=;2.:?<4<;%306?5<,8986>5+12697>"6;<087)?<6;18 4502:1/=>653:&27<<53-;8m7<4$01a>4243-;?i7?:a:&20c<4>2.:9=4<6:&216<4<2.:994>579'501=:2.:954=;%35f?71i2.::n4>6`9j51g=831b?h4?::kff?6=3`;?h7>5;h60>5<<a8>i6=44i06`>5<<alk1<75f9783>!73?33>7c?;6;28?l?3290/=995949m510=921b5>4?:%373??23g;?:7<4;h;1>5<#9==1585a15497>=n9?>1<7*>468226=i9=<1<65f17094?"6<>0::>5a15495>=n9?;1<7*>468226=i9=<1>65f17294?"6<>0::>5a15497>=hj<0;6)?;7;`7?k73>3:07bl<:18'511=j=1e=9851:9lf4<72-;?;7l;;o372?4<3fh;6=4+1559f1=i9=<1?65`ag83>!73?3h?7c?;6;68?jgb290/=995b59m510==21dmi4?:%373?d33g;?:784;nc`>5<#9==1n95a15493>=hik0;6)?;7;`7?k73>3207bon:18'511=j=1e=9859:9le<<72-;?;7l;;o372?g<3fk36=4+1559f1=i9=<1n65`a783>!73?3h?7c?;6;a8?jg2290/=995b59m510=l21dm94?:%373?d33g;?:7k4;nc0>5<#9==1n95a1549b>=hi;0;6)?;7;`7?k73>3;;76an1;29 4202k>0b<:9:038?jg7290/=995b59m510=9;10c4h50;&202<e<2d:8;4>3:9l=`<72-;?;7l;;o372?7332e2h7>5$064>g2<f8>=6<;4;n``>5<#9==1n95a154953=<gkh1<7*>468a0>h6<?0:;65`b`83>!73?3h?7c?;6;3;?>ie13:1(<:8:c68j42128307bl7:18'511=j=1e=9851`98kg1=83.:8:4m4:l203<6j21dn;4?:%373?d33g;?:7?l;:ma6?6=,8><6o:4n065>4b<3fk<6=4+1559f1=i9=<1=h54o8a94?"6<>0i86`>4782b>=n9k0;6)?;7;3b?k73>3:07d?6:18'511=9h1e=9851:9j5=<72-;?;7?n;o372?4<3`;<6=4+15595d=i9=<1?65f2583>!73?3;j7c?;6;68?l44290/=9951`9m510==21b>?4?:%373?7f3g;?:784;h02>5<#9==1=l5a15493>=n:90;6)?;7;3b?k73>3207d?i:18'511=9h1e=9859:9j5`<72-;?;7?n;o372?g<3`;o6=4+15595d=i9=<1n65f1b83>!73?3;j7c?;6;a8?l71290/=9951`9m510=l21b>l4?:%373?4>3g;?:7>4;h0;>5<#9==1>45a15495>=n:>0;6)?;7;0:?k73>3807d<9:18'511=:01e=9853:9j76<72-;?;7<6;o372?2<3`996=4+15596<=i9=<1965f3083>!73?3827c?;6;48?l57290/=995289m510=?21b>k4?:%373?4>3g;?:764;h0f>5<#9==1>45a1549=>=n:m0;6)?;7;0:?k73>3k07d<l:18'511=:01e=985b:9j6g<72-;?;7<6;o372?e<3`8>6=4+15596<=i9=<1h65f8`83>!73?3227c?;6;28?l>?290/=995889m510=921b4;4?:%373?>>3g;?:7<4;h:6>5<#9==1445a15497>=n0=0;6)?;7;::?k73>3>07d6<:18'511=001e=9855:9j<7<72-;?;766;o372?0<3`2:6=4+1559<<=i9=<1;65f8183>!73?3227c?;6;:8?l1a290/=995889m510=121b;h4?:%373?>>3g;?:7o4;h5g>5<#9==1445a1549f>=n?k0;6)?;7;::?k73>3i07d9n:18'511=001e=985d:9j3<<72-;?;766;o372?c<3`=36=4+1559<<=i9=<1j65f7683>!73?3227c?;6;33?>o0>3:1(<:8:9;8j42128;07d9::18'511=001e=9851398m22=83.:8:479:l203<6;21b;>4?:%373?>>3g;?:7?;;:k46?6=,8><6574n065>43<3`3:6=4+1559<<=i9=<1=;54i8294?"6<>0356`>47823>=n0o0;6)?;7;::?k73>3;376g7e;29 4202130b<:9:0;8?l>c290/=995889m510=9h10e5m50;&202<?12d:8;4>b:9j<g<72-;?;766;o372?7d32c3;7>5$064>=?<f8>=6<j4;h5`>5<#9==1445a15495`=<a>;1<7*>468;=>h6<?0:j65`e583>!73?3o87c?;6;28?jc5290/=995e29m510=921di<4?:%373?c43g;?:7<4;ng3>5<#9==1i>5a15497>=n1k0;6)?;7;;b?k73>3:07d76:18'511=1h1e=9851:9j==<72-;?;77n;o372?4<3`3<6=4+1559=d=i9=<1?65`e883>!73?3o37c?;6;28?jc0290/=995e99m510=921di;4?:%373?c?3g;?:7<4;ng6>5<#9==1i55a15497>=hl>0;6)?;7;f5?k73>3:07bj::18'511=l?1e=9851:9l`6<72-;?;7j9;o372?4<3fn96=4+1559`3=i9=<1?65`d083>!73?3n=7c?;6;68?jb7290/=995d79m510==21dok4?:%373?b13g;?:784;naf>5<#9==1h;5a15493>=hkm0;6)?;7;f5?k73>3207bml:18'511=l?1e=9859:9lgg<72-;?;7j9;o372?g<3fij6=4+1559`3=i9=<1n65`c983>!73?3n=7c?;6;a8?je0290/=995d79m510=l21do;4?:%373?b13g;?:7k4;na6>5<#9==1h;5a1549b>=hk=0;6)?;7;f5?k73>3;;76al3;29 4202m<0b<:9:038?je5290/=995d79m510=9;10cn?50;&202<c>2d:8;4>3:9lg5<72-;?;7j9;o372?7332eij7>5$064>a0<f8>=6<;4;nff>5<#9==1h;5a154953=<gmn1<7*>468g2>h6<?0:;65`db83>!73?3n=7c?;6;3;?>icj3:1(<:8:e48j42128307bjn:18'511=l?1e=9851`98ka?=83.:8:4k6:l203<6j21dh54?:%373?b13g;?:7?l;:mg0?6=,8><6i84n065>4b<3fi26=4+1559`3=i9=<1=h54ocg94?"6<>0o:6`>4782b>=n9?31<7*>46822==i9=<1<65f17594?"6<>0::55a15495>=n9?<1<7*>46822==i9=<1>65f17794?"6<>0::55a15497>=n>00;6)?;7;4;?k73>3:07d88:18'511=>11e=9851:9j20<72-;?;787;o372?4<3`<?6=4+15592==i9=<1?65f6283>!73?3<37c?;6;68?l05290/=995699m510==21b:<4?:%373?0?3g;?:784;h43>5<#9==1:55a15493>=n=o0;6)?;7;4;?k73>3207d;j:18'511=>11e=9859:9j1a<72-;?;787;o372?g<3`?h6=4+15592==i9=<1n65f5`83>!73?3<37c?;6;a8?l3>290/=995699m510=l21b954?:%373?0?3g;?:7k4;h74>5<#9==1:55a1549b>=n=?0;6)?;7;4;?k73>3;;76g:5;29 4202?20b<:9:038?l33290/=995699m510=9;10e8=50;&202<102d:8;4>3:9j17<72-;?;787;o372?7332c>=7>5$064>3><f8>=6<;4;h53>5<#9==1:55a154953=<a?l1<7*>4685<>h6<?0:;65f6d83>!73?3<37c?;6;3;?>o1l3:1(<:8:7:8j42128307d8l:18'511=>11e=9851`98m3d=83.:8:498:l203<6j21b:l4?:%373?0?3g;?:7?l;:k52?6=,8><6;64n065>4b<3`?i6=4+15592==i9=<1=h54i4294?"6<>0=46`>4782b>=z{8>:6=4n1z?22c<bn27:9n4>c:?21f<6>27:9n4=b:?21f<5=27:9n499:?21f<1?27:9n495:?21f<1<27:9n493:?21f<1:27:9n491:?21f<1827:9n4:f:?21f<2m27:9n4:d:?21f<2k27:9n4:a:?21f<2127:9n4:8:?21f<2?27:9n4:6:?21f<2=27:9n4:4:?21f<2;27:9n4:2:?21f<2927:9n480:?21f<1n27:9n49e:?21f<1l27:9n49c:?21f<1j27:9n47a:?21f<?027:9n476:?21f<?=27:9n474:?21f<?;27:9n472:?21f<?927:9n470:?21f<0n27:9n48e:?21f<0l27:9n48b:?21f<0i27:9n489:?21f<0027:9n487:?21f<0>27:9n485:?21f<0<27:9n483:?21f<0:27:9n461:?21f<>827:9n47f:?21f<?m27:9n47d:?21f<?k27:9n47b:?21f<??27:9n48c:?21f<0927:9n466:?21f<><27:9n463:?21f<>:27:9n46b:?21f<>127:9n468:?21f<>?27:9n4>689>50e=9?=01<;l:045?872k3;=963>5b820d=:9<i1=9l4=07`>42d34;>o7?;d:?21a<6k27:9i4>6:?21a<5j27:9i4=5:?21a<1127:9i497:?21a<1=27:9i494:?21a<1;27:9i492:?21a<1927:9i490:?21a<2n27:9i4:e:?21a<2l27:9i4:c:?21a<2i27:9i4:9:?21a<2027:9i4:7:?21a<2>27:9i4:5:?21a<2<27:9i4:3:?21a<2:27:9i4:1:?21a<0827:9i49f:?21a<1m27:9i49d:?21a<1k27:9i49b:?21a<?i27:9i478:?21a<?>27:9i475:?21a<?<27:9i473:?21a<?:27:9i471:?21a<?827:9i48f:?21a<0m27:9i48d:?21a<0j27:9i48a:?21a<0127:9i488:?21a<0?27:9i486:?21a<0=27:9i484:?21a<0;27:9i482:?21a<>927:9i460:?21a<?n27:9i47e:?21a<?l27:9i47c:?21a<?j27:9i477:?21a<0k27:9i481:?21a<>>27:9i464:?21a<>;27:9i462:?21a<>j27:9i469:?21a<>027:9i467:?21a<6>016=8j51758943c28<=70?:d;351>;6=m0:8l5214f951d<58?o6<:l;<36`?73l2wx=l950;0xZ4g034;>o7lj;|q2e=<72;qU=l64=07`>f?<uz;j57>52z\2e<=:9<i1h95rs0cb>5<5sW;jm63>5b8g<>{t9hh1<7<t^0ca?872l3hn7p}>ab83>7}Y9hi01<;k:b;8yv7fl3:1>vP>ae9>50b=l=1v<oi:181[7fn27:9i4k8:p5g7=839pR<l>;<36g?ce34;>h7km;|q2=a<72:qU=4j4=07`>15<58?o69=4}r3a4?6=;rT:n=5214a9ad=:9<n1il5rs0;`>5<4sW;2o63>5b80a>;6=m08i6s|19694?5|V82?70?:c;0`?872l38h7p}>8983>6}Y91201<;l:3f8943c2;n0q~?79;297~X60016=8m52d9>50b=:l1v<6n:180[7?i27:9n4=f:?21a<5n2wx=5l50;1xZ4>e34;>o7=?;<36`?573ty:4n4?:2y]5=e<58?h6>?4=07g>67<uz;3h7>53z\2<a=:9<i1??5214f977=z{82n6=4<{_3;a>;6=j08?63>5e807>{t91l1<7=t^0:e?872k38=70?:d;05?xu6190;6>uQ1828943d2;=01<;k:358yv7?=3:1?vP>849>50e=:116=8j5299~w4>02908wS?77:?21f<5i27:9i4=a:p5<c=838pR<7j;<36g?373ty:5k4?:3y]5<`<58?h68l4}r3b4?6=:rT:m=5214a923=z{8k:6=4={_3b5>;6=j0=m6s|1`094?4|V8k970?:d;73?xu6i:0;6?uQ1`18943c2<h0q~?n4;296~X6i=16=8j5679~w4g12909wS?n6:?21a<1i2wx=:950;1xZ41034;>o7?k;<36`?7c3ty:;o4?:2y]52d<58?h6<k4=07g>4c<uz;<o7>53z\23f=:9<i1=k5214f95c=z{8=o6=4<{_34`>;6=j09<63>5e814>{t9>o1<7=t^05f?872k38:70?:d;02?xu6?o0;6>uQ16d8943d2;801<;k:308yv7?83:1?vP>819>50e=::16=8j5229~w4>62908wS?71:?21f<5<27:9i4=4:p5=4=839pR<6=;<36g?7034;>h7?8;|q2<6<72:qU=5=4=07`>4><58?o6<64}r34<?6=;rT:;55214a95<=:9<n1=45rs05b>5<4sW;<m63>5b82f>;6=m0:n6s|1c594??|V8h<70?:c;350>;6=j0::?5214a9537<58?h6<8?;<36`?71<27:9i4>639>50b=9?;01<;k:043?x{i9k>1<7?tH07f?xh6j<0;6<uG14g8yk7e>3:1=vF>5d9~j4d0290:wE?:e:m5g>=83;pD<;j;|l2f<<728qC=8k4}o3ae?6=9rB:9h5rn0`a>5<6sA;>i6sa1ca94?7|@8?n7p`>be83>4}O9<o0qc?me;295~N6=l1vb<li:182M72m2we=n>50;3xL43b3td:o<4?:0yK50c<ug;h>7>51zJ21`=zf8i86=4>{I36a>{i9j>1<7?tH07f?xh6k<0;6<uG14g8yk7d>3:1=vF>5d9~j4e0290:wE?:e:m5f>=83;pD<;j;|l2g<<728qC=8k4}o3`e?6=9rB:9h5rn0aa>5<6sA;>i6sa1ba94?7|@8?n7p`>ce83>4}O9<o0qc?le;295~N6=l1vb<mi:182M72m2we=i>50;3xL43b3td:h<4?:0yK50c<ug;o>7>51zJ21`=zf8n86=4>{I36a>{i9m>1<7?tH07f?xh6l<0;6<uG14g8yk7c>3:1=vF>5d9~j4b0290:wE?:e:m5a>=83;pD<;j;|l2`<<728qC=8k4}o3ge?6=9rB:9h5rn0fa>5<6sA;>i6sa1ea94?7|@8?n7p`>de83>4}O9<o0qc?ke;295~N6=l1vb<ji:182M72m2we=h>50;3xL43b3td:i<4?:0yK50c<utwvLMMt20295d?djk<<qMNM{1CDU}zHI
\ No newline at end of file
<?xml version="1.0" encoding="UTF-8"?>
<symbol version="7" name="spiloopmem">
<symboltype>BLOCK</symboltype>
<timestamp>2012-3-7T21:47:40</timestamp>
<pin polarity="Input" x="0" y="80" name="addra[11:0]" />
<pin polarity="Input" x="0" y="144" name="ena" />
<pin polarity="Input" x="0" y="272" name="clka" />
<pin polarity="Input" x="0" y="432" name="addrb[11:0]" />
<pin polarity="Input" x="0" y="496" name="enb" />
<pin polarity="Input" x="0" y="624" name="clkb" />
<pin polarity="Output" x="576" y="80" name="douta[7:0]" />
<pin polarity="Output" x="576" y="368" name="doutb[7:0]" />
<graph>
<text style="fontsize:40;fontname:Arial" x="32" y="32">spiloopmem</text>
<rect width="512" x="32" y="32" height="1344" />
<line x2="32" y1="80" y2="80" style="linewidth:W" x1="0" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="36" y="80" type="pin addra[11:0]" />
<line x2="32" y1="144" y2="144" x1="0" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="36" y="144" type="pin ena" />
<line x2="32" y1="272" y2="272" x1="0" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="36" y="272" type="pin clka" />
<line x2="32" y1="432" y2="432" style="linewidth:W" x1="0" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="36" y="432" type="pin addrb[11:0]" />
<line x2="32" y1="496" y2="496" x1="0" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="36" y="496" type="pin enb" />
<line x2="32" y1="624" y2="624" x1="0" />
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="36" y="624" type="pin clkb" />
<line x2="544" y1="80" y2="80" style="linewidth:W" x1="576" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="540" y="80" type="pin douta[7:0]" />
<line x2="544" y1="368" y2="368" style="linewidth:W" x1="576" />
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="540" y="368" type="pin doutb[7:0]" />
</graph>
</symbol>
/*******************************************************************************
* This file is owned and controlled by Xilinx and must be used solely *
* for design, simulation, implementation and creation of design files *
* limited to Xilinx devices or technologies. Use with non-Xilinx *
* devices or technologies is expressly prohibited and immediately *
* terminates your license. *
* *
* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY *
* FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY *
* PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE *
* IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS *
* MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY *
* CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY *
* RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY *
* DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE *
* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR *
* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF *
* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A *
* PARTICULAR PURPOSE. *
* *
* Xilinx products are not intended for use in life support appliances, *
* devices, or systems. Use in such applications are expressly *
* prohibited. *
* *
* (c) Copyright 1995-2012 Xilinx, Inc. *
* All rights reserved. *
*******************************************************************************/
// You must compile the wrapper file spiloopmem.v when simulating
// the core, spiloopmem. When compiling the wrapper file, be sure to
// reference the XilinxCoreLib Verilog simulation library. For detailed
// instructions, please refer to the "CORE Generator Help".
// The synthesis directives "translate_off/translate_on" specified below are
// supported by Xilinx, Mentor Graphics and Synplicity synthesis
// tools. Ensure they are correct for your synthesis tool(s).
`timescale 1ns/1ps
module spiloopmem(
clka,
ena,
wea,
addra,
dina,
clkb,
enb,
addrb,
doutb
);
input clka;
input ena;
input [0 : 0] wea;
input [11 : 0] addra;
input [7 : 0] dina;
input clkb;
input enb;
input [11 : 0] addrb;
output [7 : 0] doutb;
// synthesis translate_off
BLK_MEM_GEN_V6_2 #(
.C_ADDRA_WIDTH(12),
.C_ADDRB_WIDTH(12),
.C_ALGORITHM(1),
.C_AXI_ID_WIDTH(4),
.C_AXI_SLAVE_TYPE(0),
.C_AXI_TYPE(1),
.C_BYTE_SIZE(9),
.C_COMMON_CLK(0),
.C_DEFAULT_DATA("0"),
.C_DISABLE_WARN_BHV_COLL(0),
.C_DISABLE_WARN_BHV_RANGE(0),
.C_FAMILY("spartan6"),
.C_HAS_AXI_ID(0),
.C_HAS_ENA(1),
.C_HAS_ENB(1),
.C_HAS_INJECTERR(0),
.C_HAS_MEM_OUTPUT_REGS_A(0),
.C_HAS_MEM_OUTPUT_REGS_B(0),
.C_HAS_MUX_OUTPUT_REGS_A(0),
.C_HAS_MUX_OUTPUT_REGS_B(0),
.C_HAS_REGCEA(0),
.C_HAS_REGCEB(0),
.C_HAS_RSTA(0),
.C_HAS_RSTB(0),
.C_HAS_SOFTECC_INPUT_REGS_A(0),
.C_HAS_SOFTECC_OUTPUT_REGS_B(0),
.C_INIT_FILE_NAME("no_coe_file_loaded"),
.C_INITA_VAL("0"),
.C_INITB_VAL("0"),
.C_INTERFACE_TYPE(0),
.C_LOAD_INIT_FILE(0),
.C_MEM_TYPE(1),
.C_MUX_PIPELINE_STAGES(0),
.C_PRIM_TYPE(1),
.C_READ_DEPTH_A(4096),
.C_READ_DEPTH_B(4096),
.C_READ_WIDTH_A(8),
.C_READ_WIDTH_B(8),
.C_RST_PRIORITY_A("CE"),
.C_RST_PRIORITY_B("CE"),
.C_RST_TYPE("SYNC"),
.C_RSTRAM_A(0),
.C_RSTRAM_B(0),
.C_SIM_COLLISION_CHECK("ALL"),
.C_USE_BYTE_WEA(0),
.C_USE_BYTE_WEB(0),
.C_USE_DEFAULT_DATA(0),
.C_USE_ECC(0),
.C_USE_SOFTECC(0),
.C_WEA_WIDTH(1),
.C_WEB_WIDTH(1),
.C_WRITE_DEPTH_A(4096),
.C_WRITE_DEPTH_B(4096),
.C_WRITE_MODE_A("WRITE_FIRST"),
.C_WRITE_MODE_B("WRITE_FIRST"),
.C_WRITE_WIDTH_A(8),
.C_WRITE_WIDTH_B(8),
.C_XDEVICEFAMILY("spartan6")
)
inst (
.CLKA(clka),
.ENA(ena),
.WEA(wea),
.ADDRA(addra),
.DINA(dina),
.CLKB(clkb),
.ENB(enb),
.ADDRB(addrb),
.DOUTB(doutb),
.RSTA(),
.REGCEA(),
.DOUTA(),
.RSTB(),
.REGCEB(),
.WEB(),
.DINB(),
.INJECTSBITERR(),
.INJECTDBITERR(),
.SBITERR(),
.DBITERR(),
.RDADDRECC(),
.S_ACLK(),
.S_ARESETN(),
.S_AXI_AWID(),
.S_AXI_AWADDR(),
.S_AXI_AWLEN(),
.S_AXI_AWSIZE(),
.S_AXI_AWBURST(),
.S_AXI_AWVALID(),
.S_AXI_AWREADY(),
.S_AXI_WDATA(),
.S_AXI_WSTRB(),
.S_AXI_WLAST(),
.S_AXI_WVALID(),
.S_AXI_WREADY(),
.S_AXI_BID(),
.S_AXI_BRESP(),
.S_AXI_BVALID(),
.S_AXI_BREADY(),
.S_AXI_ARID(),
.S_AXI_ARADDR(),
.S_AXI_ARLEN(),
.S_AXI_ARSIZE(),
.S_AXI_ARBURST(),
.S_AXI_ARVALID(),
.S_AXI_ARREADY(),
.S_AXI_RID(),
.S_AXI_RDATA(),
.S_AXI_RRESP(),
.S_AXI_RLAST(),
.S_AXI_RVALID(),
.S_AXI_RREADY(),
.S_AXI_INJECTSBITERR(),
.S_AXI_INJECTDBITERR(),
.S_AXI_SBITERR(),
.S_AXI_DBITERR(),
.S_AXI_RDADDRECC()
);
// synthesis translate_on
endmodule
/*******************************************************************************
* This file is owned and controlled by Xilinx and must be used solely *
* for design, simulation, implementation and creation of design files *
* limited to Xilinx devices or technologies. Use with non-Xilinx *
* devices or technologies is expressly prohibited and immediately *
* terminates your license. *
* *
* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY *
* FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY *
* PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE *
* IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS *
* MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY *
* CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY *
* RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY *
* DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE *
* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR *
* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF *
* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A *
* PARTICULAR PURPOSE. *
* *
* Xilinx products are not intended for use in life support appliances, *
* devices, or systems. Use in such applications are expressly *
* prohibited. *
* *
* (c) Copyright 1995-2012 Xilinx, Inc. *
* All rights reserved. *
*******************************************************************************/
/*******************************************************************************
* Generated from core with identifier: xilinx.com:ip:blk_mem_gen:6.2 *
* *
* The Xilinx LogiCORE IP Block Memory Generator replaces the Dual Port *
* Block Memory and Single Port Block Memory LogiCOREs, but is not a *
* direct drop-in replacement. It should be used in all new Xilinx *
* designs. The core supports RAM and ROM functions over a wide range of *
* widths and depths. Use this core to generate block memories with *
* symmetric or asymmetric read and write port widths, as well as cores *
* which can perform simultaneous write operations to separate *
* locations, and simultaneous read operations from the same location. *
* For more information on differences in interface and feature support *
* between this core and the Dual Port Block Memory and Single Port *
* Block Memory LogiCOREs, please consult the data sheet. *
*******************************************************************************/
// Interfaces:
// AXI_SLAVE_S_AXI
// AXILite_SLAVE_S_AXI
// The following must be inserted into your Verilog file for this
// core to be instantiated. Change the instance name and port connections
// (in parentheses) to your own signal names.
//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG
spiloopmem your_instance_name (
.clka(clka), // input clka
.ena(ena), // input ena
.wea(wea), // input [0 : 0] wea
.addra(addra), // input [11 : 0] addra
.dina(dina), // input [7 : 0] dina
.clkb(clkb), // input clkb
.enb(enb), // input enb
.addrb(addrb), // input [11 : 0] addrb
.doutb(doutb) // output [7 : 0] doutb
);
// INST_TAG_END ------ End INSTANTIATION Template ---------
// You must compile the wrapper file spiloopmem.v when simulating
// the core, spiloopmem. When compiling the wrapper file, be sure to
// reference the XilinxCoreLib Verilog simulation library. For detailed
// instructions, please refer to the "CORE Generator Help".
##############################################################
#
# Xilinx Core Generator version 13.2
# Date: Wed Mar 07 22:04:54 2012
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# Generated from component: xilinx.com:ip:blk_mem_gen:6.2
#
##############################################################
#
# BEGIN Project Options
SET addpads = false
SET asysymbol = true
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = false
SET designentry = Verilog
SET device = xc6slx45
SET devicefamily = spartan6
SET flowvendor = Other
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = csg324
SET removerpms = false
SET simulationfiles = Behavioral
SET speedgrade = -2
SET verilogsim = true
SET vhdlsim = false
# END Project Options
# BEGIN Select
SELECT Block_Memory_Generator xilinx.com:ip:blk_mem_gen:6.2
# END Select
# BEGIN Parameters
CSET additional_inputs_for_power_estimation=false
CSET algorithm=Minimum_Area
CSET assume_synchronous_clk=false
CSET axi_id_width=4
CSET axi_slave_type=Memory_Slave
CSET axi_type=AXI4_Full
CSET byte_size=9
CSET coe_file=no_coe_file_loaded
CSET collision_warnings=ALL
CSET component_name=spiloopmem
CSET disable_collision_warnings=false
CSET disable_out_of_range_warnings=false
CSET ecc=false
CSET ecctype=No_ECC
CSET enable_a=Use_ENA_Pin
CSET enable_b=Use_ENB_Pin
CSET error_injection_type=Single_Bit_Error_Injection
CSET fill_remaining_memory_locations=false
CSET interface_type=Native
CSET load_init_file=false
CSET memory_type=Simple_Dual_Port_RAM
CSET operating_mode_a=WRITE_FIRST
CSET operating_mode_b=WRITE_FIRST
CSET output_reset_value_a=0
CSET output_reset_value_b=0
CSET pipeline_stages=0
CSET port_a_clock=100
CSET port_a_enable_rate=100
CSET port_a_write_rate=50
CSET port_b_clock=100
CSET port_b_enable_rate=100
CSET port_b_write_rate=0
CSET primitive=8kx2
CSET read_width_a=8
CSET read_width_b=8
CSET register_porta_input_of_softecc=false
CSET register_porta_output_of_memory_core=false
CSET register_porta_output_of_memory_primitives=false
CSET register_portb_output_of_memory_core=false
CSET register_portb_output_of_memory_primitives=false
CSET register_portb_output_of_softecc=false
CSET remaining_memory_locations=0
CSET reset_memory_latch_a=false
CSET reset_memory_latch_b=false
CSET reset_priority_a=CE
CSET reset_priority_b=CE
CSET reset_type=SYNC
CSET softecc=false
CSET use_axi_id=false
CSET use_byte_write_enable=false
CSET use_error_injection_pins=false
CSET use_regcea_pin=false
CSET use_regceb_pin=false
CSET use_rsta_pin=false
CSET use_rstb_pin=false
CSET write_depth_a=4096
CSET write_width_a=8
CSET write_width_b=8
# END Parameters
# BEGIN Extra information
MISC pkg_timestamp=2011-03-11T08:24:14.000Z
# END Extra information
GENERATE
# CRC: f197b839
<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
<project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
<header>
<!-- ISE source project file created by Project Navigator. -->
<!-- -->
<!-- This file contains project source information including a list of -->
<!-- project source files, project and process properties. This file, -->
<!-- along with the project source files, is sufficient to open and -->
<!-- implement in ISE Project Navigator. -->
<!-- -->
<!-- Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -->
</header>
<version xil_pn:ise_version="13.2" xil_pn:schema_version="2"/>
<files>
<file xil_pn:name="spiloopmem.ngc" xil_pn:type="FILE_NGC">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="3"/>
<association xil_pn:name="Implementation" xil_pn:seqID="3"/>
</file>
<file xil_pn:name="spiloopmem.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="4"/>
<association xil_pn:name="Implementation" xil_pn:seqID="4"/>
<association xil_pn:name="PostMapSimulation" xil_pn:seqID="4"/>
<association xil_pn:name="PostRouteSimulation" xil_pn:seqID="4"/>
<association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="4"/>
</file>
</files>
<properties>
<property xil_pn:name="Auto Implementation Top" xil_pn:value="false" xil_pn:valueState="non-default"/>
<property xil_pn:name="Device" xil_pn:value="xc6slx45" xil_pn:valueState="non-default"/>
<property xil_pn:name="Device Family" xil_pn:value="Spartan6" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Stop View" xil_pn:value="PreSynthesis" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top" xil_pn:value="Module|spiloopmem" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top File" xil_pn:value="spiloopmem.ngc" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/spiloopmem" xil_pn:valueState="non-default"/>
<property xil_pn:name="Package" xil_pn:value="csg324" xil_pn:valueState="non-default"/>
<property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Project Generator" xil_pn:value="CoreGen" xil_pn:valueState="non-default"/>
<property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/>
<property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/>
<property xil_pn:name="Speed Grade" xil_pn:value="-2" xil_pn:valueState="non-default"/>
<property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
<property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/>
<property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="non-default"/>
<!-- -->
<!-- The following properties are for internal use only. These should not be modified.-->
<!-- -->
<property xil_pn:name="PROP_DesignName" xil_pn:value="spiloopmem" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2012-03-07T17:05:42" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="C2B01838CC5744EE9AD3C0D68A2179D8" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/>
</properties>
<bindings/>
<libraries/>
<autoManagedFiles>
<!-- The following files are identified by `include statements in verilog -->
<!-- source files and are automatically managed by Project Navigator. -->
<!-- -->
<!-- Do not hand-edit this section, as it will be overwritten when the -->
<!-- project is analyzed based on files automatically identified as -->
<!-- include files. -->
</autoManagedFiles>
</project>
# Output products list for <spiloopmem>
_xmsgs\pn_parser.xmsgs
blk_mem_gen_ds512.pdf
blk_mem_gen_v6_2_readme.txt
spiloopmem.asy
spiloopmem.gise
spiloopmem.ngc
spiloopmem.v
spiloopmem.veo
spiloopmem.xco
spiloopmem.xise
spiloopmem_flist.txt
spiloopmem_ste\example_design\bmg_wrapper.vhd
spiloopmem_ste\example_design\spiloopmem_top.ucf
spiloopmem_ste\example_design\spiloopmem_top.vhd
spiloopmem_ste\example_design\spiloopmem_top.xdc
spiloopmem_ste\implement\implement.sh
spiloopmem_ste\implement\planAhead_rdn.bat
spiloopmem_ste\implement\planAhead_rdn.sh
spiloopmem_ste\implement\planAhead_rdn.tcl
spiloopmem_ste\implement\xst.prj
spiloopmem_ste\implement\xst.scr
spiloopmem_xmdf.tcl
summary.log
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v6.2 Core - Top-level wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--------------------------------------------------------------------------------
--
-- Filename: bmg_wrapper.vhd
--
-- Description:
-- This is the top-level BMG wrapper (over BMG core).
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
-- Configured Core Parameter Values:
-- (Refer to the SIM Parameters table in the datasheet for more information on
-- the these parameters.)
-- C_FAMILY : spartan6
-- C_XDEVICEFAMILY : spartan6
-- C_INTERFACE_TYPE : 0
-- C_AXI_TYPE : 1
-- C_AXI_SLAVE_TYPE : 0
-- C_AXI_ID_WIDTH : 4
-- C_MEM_TYPE : 1
-- C_BYTE_SIZE : 9
-- C_ALGORITHM : 1
-- C_PRIM_TYPE : 1
-- C_LOAD_INIT_FILE : 0
-- C_INIT_FILE_NAME : no_coe_file_loaded
-- C_USE_DEFAULT_DATA : 0
-- C_DEFAULT_DATA : 0
-- C_RST_TYPE : SYNC
-- C_HAS_RSTA : 0
-- C_RST_PRIORITY_A : CE
-- C_RSTRAM_A : 0
-- C_INITA_VAL : 0
-- C_HAS_ENA : 1
-- C_HAS_REGCEA : 0
-- C_USE_BYTE_WEA : 0
-- C_WEA_WIDTH : 1
-- C_WRITE_MODE_A : WRITE_FIRST
-- C_WRITE_WIDTH_A : 8
-- C_READ_WIDTH_A : 8
-- C_WRITE_DEPTH_A : 4096
-- C_READ_DEPTH_A : 4096
-- C_ADDRA_WIDTH : 12
-- C_HAS_RSTB : 0
-- C_RST_PRIORITY_B : CE
-- C_RSTRAM_B : 0
-- C_INITB_VAL : 0
-- C_HAS_ENB : 1
-- C_HAS_REGCEB : 0
-- C_USE_BYTE_WEB : 0
-- C_WEB_WIDTH : 1
-- C_WRITE_MODE_B : WRITE_FIRST
-- C_WRITE_WIDTH_B : 8
-- C_READ_WIDTH_B : 8
-- C_WRITE_DEPTH_B : 4096
-- C_READ_DEPTH_B : 4096
-- C_ADDRB_WIDTH : 12
-- C_HAS_MEM_OUTPUT_REGS_A : 0
-- C_HAS_MEM_OUTPUT_REGS_B : 0
-- C_HAS_MUX_OUTPUT_REGS_A : 0
-- C_HAS_MUX_OUTPUT_REGS_B : 0
-- C_HAS_SOFTECC_INPUT_REGS_A : 0
-- C_HAS_SOFTECC_OUTPUT_REGS_B : 0
-- C_MUX_PIPELINE_STAGES : 0
-- C_USE_ECC : 0
-- C_USE_SOFTECC : 0
-- C_HAS_INJECTERR : 0
-- C_SIM_COLLISION_CHECK : ALL
-- C_COMMON_CLK : 0
-- C_DISABLE_WARN_BHV_COLL : 0
-- C_DISABLE_WARN_BHV_RANGE : 0
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY bmg_wrapper IS
PORT (
--Port A
CLKA : IN STD_LOGIC;
RSTA : IN STD_LOGIC; --opt port
ENA : IN STD_LOGIC; --optional port
REGCEA : IN STD_LOGIC; --optional port
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
--Port B
CLKB : IN STD_LOGIC;
RSTB : IN STD_LOGIC; --opt port
ENB : IN STD_LOGIC; --optional port
REGCEB : IN STD_LOGIC; --optional port
WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRB : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
DINB : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
--ECC
INJECTSBITERR : IN STD_LOGIC; --optional port
INJECTDBITERR : IN STD_LOGIC; --optional port
SBITERR : OUT STD_LOGIC; --optional port
DBITERR : OUT STD_LOGIC; --optional port
RDADDRECC : OUT STD_LOGIC_VECTOR(11 DOWNTO 0); --optional port
-- AXI BMG Input and Output Port Declarations
-- AXI Global Signals
S_ACLK : IN STD_LOGIC;
S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_AWVALID : IN STD_LOGIC;
S_AXI_AWREADY : OUT STD_LOGIC;
S_AXI_WDATA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
S_AXI_WLAST : IN STD_LOGIC;
S_AXI_WVALID : IN STD_LOGIC;
S_AXI_WREADY : OUT STD_LOGIC;
S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_BVALID : OUT STD_LOGIC;
S_AXI_BREADY : IN STD_LOGIC;
-- AXI Full/Lite Slave Read (Write side)
S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_ARVALID : IN STD_LOGIC;
S_AXI_ARREADY : OUT STD_LOGIC;
S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_RDATA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_RLAST : OUT STD_LOGIC;
S_AXI_RVALID : OUT STD_LOGIC;
S_AXI_RREADY : IN STD_LOGIC;
-- AXI Full/Lite Sideband Signals
S_AXI_INJECTSBITERR : IN STD_LOGIC;
S_AXI_INJECTDBITERR : IN STD_LOGIC;
S_AXI_SBITERR : OUT STD_LOGIC;
S_AXI_DBITERR : OUT STD_LOGIC;
S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
S_ARESETN : IN STD_LOGIC
);
END bmg_wrapper;
ARCHITECTURE xilinx OF bmg_wrapper IS
COMPONENT spiloopmem_top IS
PORT (
--Port A
ENA : IN STD_LOGIC; --opt port
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
CLKA : IN STD_LOGIC;
--Port B
ENB : IN STD_LOGIC; --opt port
ADDRB : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
CLKB : IN STD_LOGIC
);
END COMPONENT;
BEGIN
bmg0 : spiloopmem_top
PORT MAP (
--Port A
ENA => ENA,
WEA => WEA,
ADDRA => ADDRA,
DINA => DINA,
CLKA => CLKA,
--Port B
ENB => ENB,
ADDRB => ADDRB,
DOUTB => DOUTB,
CLKB => CLKB
);
END xilinx;
################################################################################
#
# (c) Copyright 2002 - 2010 Xilinx, Inc. All rights reserved.
#
# This file contains confidential and proprietary information
# of Xilinx, Inc. and is protected under U.S. and
# international copyright and other intellectual property
# laws.
#
# DISCLAIMER
# This disclaimer is not a license and does not grant any
# rights to the materials distributed herewith. Except as
# otherwise provided in a valid license issued to you by
# Xilinx, and to the maximum extent permitted by applicable
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
# (2) Xilinx shall not be liable (whether in contract or tort,
# including negligence, or under any other theory of
# liability) for any loss or damage of any kind or nature
# related to, arising under or in connection with these
# materials, including for any direct, or any indirect,
# special, incidental, or consequential loss or damage
# (including loss of data, profits, goodwill, or any type of
# loss or damage suffered as a result of any action brought
# by a third party) even if such damage or loss was
# reasonably foreseeable or Xilinx had been advised of the
# possibility of the same.
#
# CRITICAL APPLICATIONS
# Xilinx products are not designed or intended to be fail-
# safe, or for use in any application requiring fail-safe
# performance, such as life-support or safety devices or
# systems, Class III medical devices, nuclear facilities,
# applications related to the deployment of airbags, or any
# other applications that could lead to death, personal
# injury, or severe property or environmental damage
# (individually and collectively, "Critical
# Applications"). Customer assumes the sole risk and
# liability of any use of Xilinx products in Critical
# Applications, subject only to applicable laws and
# regulations governing limitations on product liability.
#
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
# PART OF THIS FILE AT ALL TIMES.
#
################################################################################
# Tx Core Period Constraint. This constraint can be modified, and is
# valid as long as it is met after place and route.
NET "CLKA" TNM_NET = "CLKA";
NET "CLKB" TNM_NET = "CLKB";
TIMESPEC "TS_CLKA" = PERIOD "CLKA" 25 MHZ;
TIMESPEC "TS_CLKB" = PERIOD "CLKB" 25 MHZ;
################################################################################
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v6.2 Core - Top-level core wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: bmg_wrapper.vhd
--
-- Description:
-- This is the actual BMG core wrapper.
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY spiloopmem_top IS
PORT (
--Inputs - Port A
ENA : IN STD_LOGIC; --opt port
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
CLKA : IN STD_LOGIC;
--Inputs - Port B
ENB : IN STD_LOGIC; --opt port
ADDRB : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
CLKB : IN STD_LOGIC
);
END spiloopmem_top;
ARCHITECTURE xilinx OF spiloopmem_top IS
COMPONENT BUFG IS
PORT (
I : IN STD_ULOGIC;
O : OUT STD_ULOGIC
);
END COMPONENT;
COMPONENT spiloopmem IS
PORT (
--Port A
ENA : IN STD_LOGIC; --opt port
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
CLKA : IN STD_LOGIC;
--Port B
ENB : IN STD_LOGIC; --opt port
ADDRB : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
CLKB : IN STD_LOGIC
);
END COMPONENT;
SIGNAL CLKA_buf : STD_LOGIC;
SIGNAL CLKB_buf : STD_LOGIC;
SIGNAL S_ACLK_buf : STD_LOGIC;
BEGIN
bufg_A : BUFG
PORT MAP (
I => CLKA,
O => CLKA_buf
);
bufg_B : BUFG
PORT MAP (
I => CLKB,
O => CLKB_buf
);
bmg0 : spiloopmem
PORT MAP (
--Port A
ENA => ENA,
WEA => WEA,
ADDRA => ADDRA,
DINA => DINA,
CLKA => CLKA_buf,
--Port B
ENB => ENB,
ADDRB => ADDRB,
DOUTB => DOUTB,
CLKB => CLKB_buf
);
END xilinx;
################################################################################
#
# (c) Copyright 2002 - 2011 Xilinx, Inc. All rights reserved.
#
# This file contains confidential and proprietary information
# of Xilinx, Inc. and is protected under U.S. and
# international copyright and other intellectual property
# laws.
#
# DISCLAIMER
# This disclaimer is not a license and does not grant any
# rights to the materials distributed herewith. Except as
# otherwise provided in a valid license issued to you by
# Xilinx, and to the maximum extent permitted by applicable
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
# (2) Xilinx shall not be liable (whether in contract or tort,
# including negligence, or under any other theory of
# liability) for any loss or damage of any kind or nature
# related to, arising under or in connection with these
# materials, including for any direct, or any indirect,
# special, incidental, or consequential loss or damage
# (including loss of data, profits, goodwill, or any type of
# loss or damage suffered as a result of any action brought
# by a third party) even if such damage or loss was
# reasonably foreseeable or Xilinx had been advised of the
# possibility of the same.
#
# CRITICAL APPLICATIONS
# Xilinx products are not designed or intended to be fail-
# safe, or for use in any application requiring fail-safe
# performance, such as life-support or safety devices or
# systems, Class III medical devices, nuclear facilities,
# applications related to the deployment of airbags, or any
# other applications that could lead to death, personal
# injury, or severe property or environmental damage
# (individually and collectively, "Critical
# Applications"). Customer assumes the sole risk and
# liability of any use of Xilinx products in Critical
# Applications, subject only to applicable laws and
# regulations governing limitations on product liability.
#
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
# PART OF THIS FILE AT ALL TIMES.
#
################################################################################
# Core Period Constraint. This constraint can be modified, and is
# valid as long as it is met after place and route.
create_clock -name "TS_CLKA" -period 20.0 [ get_ports CLKA ]
create_clock -name "TS_CLKB" -period 20.0 [ get_ports CLKB ]
################################################################################
#!/bin/sh
# Clean up the results directory
rm -rf results
mkdir results
#Synthesize the Wrapper Files
echo 'Synthesizing XST wrapper file (core_top.vhd) with XST';
echo 'Synthesizing example design with XST';
xst -ifn xst.scr
cp spiloopmem_top.ngc ./results/
# Copy the netlist generated by Coregen
echo 'Copying files from the netlist directory to the results directory'
cp ../../spiloopmem.ngc results/
# Copy the constraints files generated by Coregen
echo 'Copying files from constraints directory to results directory'
cp ../example_design/spiloopmem_top.ucf results/
cd results
echo 'Running ngdbuild'
ngdbuild -p xc6slx45-csg324-2 spiloopmem_top
echo 'Running map'
map spiloopmem_top -o mapped.ncd -pr i
echo 'Running par'
par mapped.ncd routed.ncd
echo 'Running trce'
trce -e 10 routed.ncd mapped.pcf -o routed
echo 'Running design through bitgen'
bitgen -w routed
echo 'Running netgen to create gate level Verilog model'
netgen -ofmt verilog -sim -tm spiloopmem_top -pcf mapped.pcf -w routed.ncd routed.v
cp routed.sdf ../../production/timing/
#!/bin/sh
rem (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
rem
rem This file contains confidential and proprietary information
rem of Xilinx, Inc. and is protected under U.S. and
rem international copyright and other intellectual property
rem laws.
rem
rem DISCLAIMER
rem This disclaimer is not a license and does not grant any
rem rights to the materials distributed herewith. Except as
rem otherwise provided in a valid license issued to you by
rem Xilinx, and to the maximum extent permitted by applicable
rem law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
rem WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
rem AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
rem BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
rem INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
rem (2) Xilinx shall not be liable (whether in contract or tort,
rem including negligence, or under any other theory of
rem liability) for any loss or damage of any kind or nature
rem related to, arising under or in connection with these
rem materials, including for any direct, or any indirect,
rem special, incidental, or consequential loss or damage
rem (including loss of data, profits, goodwill, or any type of
rem loss or damage suffered as a result of any action brought
rem by a third party) even if such damage or loss was
rem reasonably foreseeable or Xilinx had been advised of the
rem possibility of the same.
rem
rem CRITICAL APPLICATIONS
rem Xilinx products are not designed or intended to be fail-
rem safe, or for use in any application requiring fail-safe
rem performance, such as life-support or safety devices or
rem systems, Class III medical devices, nuclear facilities,
rem applications related to the deployment of airbags, or any
rem other applications that could lead to death, personal
rem injury, or severe property or environmental damage
rem (individually and collectively, "Critical
rem Applications"). Customer assumes the sole risk and
rem liability of any use of Xilinx products in Critical
rem Applications, subject only to applicable laws and
rem regulations governing limitations on product liability.
rem
rem THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
rem PART OF THIS FILE AT ALL TIMES.
rem -----------------------------------------------------------------------------
rem Script to synthesize and implement the Coregen FIFO Generator
rem -----------------------------------------------------------------------------
rmdir /S /Q results
mkdir results
cd results
copy ..\..\..\tmp\spiloopmem.edf .
planAhead -mode batch -source ..\planAhead_rdn.tcl
#!/bin/sh
# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
#
# This file contains confidential and proprietary information
# of Xilinx, Inc. and is protected under U.S. and
# international copyright and other intellectual property
# laws.
#
# DISCLAIMER
# This disclaimer is not a license and does not grant any
# rights to the materials distributed herewith. Except as
# otherwise provided in a valid license issued to you by
# Xilinx, and to the maximum extent permitted by applicable
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
# (2) Xilinx shall not be liable (whether in contract or tort,
# including negligence, or under any other theory of
# liability) for any loss or damage of any kind or nature
# related to, arising under or in connection with these
# materials, including for any direct, or any indirect,
# special, incidental, or consequential loss or damage
# (including loss of data, profits, goodwill, or any type of
# loss or damage suffered as a result of any action brought
# by a third party) even if such damage or loss was
# reasonably foreseeable or Xilinx had been advised of the
# possibility of the same.
#
# CRITICAL APPLICATIONS
# Xilinx products are not designed or intended to be fail-
# safe, or for use in any application requiring fail-safe
# performance, such as life-support or safety devices or
# systems, Class III medical devices, nuclear facilities,
# applications related to the deployment of airbags, or any
# other applications that could lead to death, personal
# injury, or severe property or environmental damage
# (individually and collectively, "Critical
# Applications"). Customer assumes the sole risk and
# liability of any use of Xilinx products in Critical
# Applications, subject only to applicable laws and
# regulations governing limitations on product liability.
#
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
# PART OF THIS FILE AT ALL TIMES.
#-----------------------------------------------------------------------------
# Script to synthesize and implement the Coregen FIFO Generator
#-----------------------------------------------------------------------------
rm -rf results
mkdir results
cd results
cp ../../../tmp/spiloopmem.edf .
planAhead -mode batch -source ../planAhead_rdn.tcl
# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
#
# This file contains confidential and proprietary information
# of Xilinx, Inc. and is protected under U.S. and
# international copyright and other intellectual property
# laws.
#
# DISCLAIMER
# This disclaimer is not a license and does not grant any
# rights to the materials distributed herewith. Except as
# otherwise provided in a valid license issued to you by
# Xilinx, and to the maximum extent permitted by applicable
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
# (2) Xilinx shall not be liable (whether in contract or tort,
# including negligence, or under any other theory of
# liability) for any loss or damage of any kind or nature
# related to, arising under or in connection with these
# materials, including for any direct, or any indirect,
# special, incidental, or consequential loss or damage
# (including loss of data, profits, goodwill, or any type of
# loss or damage suffered as a result of any action brought
# by a third party) even if such damage or loss was
# reasonably foreseeable or Xilinx had been advised of the
# possibility of the same.
#
# CRITICAL APPLICATIONS
# Xilinx products are not designed or intended to be fail-
# safe, or for use in any application requiring fail-safe
# performance, such as life-support or safety devices or
# systems, Class III medical devices, nuclear facilities,
# applications related to the deployment of airbags, or any
# other applications that could lead to death, personal
# injury, or severe property or environmental damage
# (individually and collectively, "Critical
# Applications"). Customer assumes the sole risk and
# liability of any use of Xilinx products in Critical
# Applications, subject only to applicable laws and
# regulations governing limitations on product liability.
#
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
# PART OF THIS FILE AT ALL TIMES.
set device xc6slx45csg324-2
set projName spiloopmem
set design spiloopmem
set projDir [file dirname [info script]]
create_project $projName $projDir/results/$projName -part $device -force
set_property design_mode RTL [current_fileset -srcset]
set top_module spiloopmem_top
add_files -norecurse {../../example_design/spiloopmem_top.vhd}
add_files -norecurse {./spiloopmem.edf}
import_files -fileset [get_filesets constrs_1] -force -norecurse {../../example_design/spiloopmem_top.xdc}
set_property top spiloopmem_top [get_property srcset [current_run]]
synth_design
opt_design
place_design
route_design
write_sdf -rename_top_module spiloopmem_top -file routed.sdf
write_verilog -nolib -mode sim -sdf_anno false -rename_top_module spiloopmem_top routed.v
report_timing -nworst 30 -path_type full -file routed.twr
report_drc -file routed.drc
#write_bitstream
run
-ifmt VHDL
-ent spiloopmem_top
-p xc6slx45-csg324-2
-ifn xst.prj
-write_timing_constraints No
-iobuf YES
-max_fanout 100
-ofn spiloopmem_top
-ofmt NGC
-bus_delimiter ()
-hierarchy_separator /
-case Maintain
# The package naming convention is <core_name>_xmdf
package provide spiloopmem_xmdf 1.0
# This includes some utilities that support common XMDF operations
package require utilities_xmdf
# Define a namespace for this package. The name of the name space
# is <core_name>_xmdf
namespace eval ::spiloopmem_xmdf {
# Use this to define any statics
}
# Function called by client to rebuild the params and port arrays
# Optional when the use context does not require the param or ports
# arrays to be available.
proc ::spiloopmem_xmdf::xmdfInit { instance } {
# Variable containing name of library into which module is compiled
# Recommendation: <module_name>
# Required
utilities_xmdf::xmdfSetData $instance Module Attributes Name spiloopmem
}
# ::spiloopmem_xmdf::xmdfInit
# Function called by client to fill in all the xmdf* data variables
# based on the current settings of the parameters
proc ::spiloopmem_xmdf::xmdfApplyParams { instance } {
set fcount 0
# Array containing libraries that are assumed to exist
# Examples include unisim and xilinxcorelib
# Optional
# In this example, we assume that the unisim library will
# be available to the simulation and synthesis tool
utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library
utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_gen_ds512.pdf
utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_gen_v6_2_readme.txt
utilities_xmdf::xmdfSetData $instance FileSet $fcount type text
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path spiloopmem.asy
utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path spiloopmem.ngc
utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path spiloopmem.v
utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path spiloopmem.veo
utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path spiloopmem.xco
utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path spiloopmem_ste/example_design/bmg_wrapper.vhd
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path spiloopmem_ste/example_design/spiloopmem_top.ucf
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path spiloopmem_ste/example_design/spiloopmem_top.vhd
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path spiloopmem_ste/example_design/spiloopmem_top.xdc
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path spiloopmem_ste/implement/implement.sh
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path spiloopmem_ste/implement/planAhead_rdn.bat
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path spiloopmem_ste/implement/planAhead_rdn.sh
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path spiloopmem_ste/implement/planAhead_rdn.tcl
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path spiloopmem_ste/implement/xst.prj
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path spiloopmem_ste/implement/xst.scr
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path spiloopmem_xmdf.tcl
utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path summary.log
utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
incr fcount
utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module spiloopmem
incr fcount
}
# ::gen_comp_name_xmdf::xmdfApplyParams
User Configuration
-------------------------------------
Algorithm : Minimum_Area
Memory Type : Simple_Dual_Port_RAM
Port A Write Width : 8
Port B Read Width : 8
Memory Depth : 4096
--------------------------------------------------------------
Block RAM resource(s) (9K BRAMs) : 0
Block RAM resource(s) (18K BRAMs) : 2
--------------------------------------------------------------
Clock A Frequency : 100
Port A Enable Rate : 100
Port A Write Rate : 50
----------------------------------------------------------
Estimated Power for IP : 5.357579 mW
----------------------------------------------------------
SET_FLAG DEBUG FALSE
SET_FLAG MODE INTERACTIVE
SET_FLAG STANDALONE_MODE FALSE
SET_PREFERENCE devicefamily spartan6
SET_PREFERENCE device xc6slx45
SET_PREFERENCE speedgrade -2
SET_PREFERENCE package csg324
SET_PREFERENCE verilogsim true
SET_PREFERENCE vhdlsim false
SET_PREFERENCE simulationfiles Behavioral
SET_PREFERENCE busformat BusFormatAngleBracketNotRipped
SET_PREFERENCE outputdirectory C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/
SET_PREFERENCE workingdirectory C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/
SET_PREFERENCE subworkingdirectory C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/
SET_PREFERENCE transientdirectory C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/
SET_PREFERENCE designentry Verilog
SET_PREFERENCE flowvendor Other
SET_PREFERENCE addpads false
SET_PREFERENCE projectname coregen
SET_PREFERENCE formalverification false
SET_PREFERENCE asysymbol false
SET_PREFERENCE implementationfiletype Ngc
SET_PREFERENCE foundationsym false
SET_PREFERENCE createndf false
SET_PREFERENCE removerpms false
SET_PARAMETER Component_Name spiloopmem
SET_PARAMETER Interface_Type Native
SET_PARAMETER AXI_Type AXI4_Full
SET_PARAMETER AXI_Slave_Type Memory_Slave
SET_PARAMETER Use_AXI_ID false
SET_PARAMETER AXI_ID_Width 4
SET_PARAMETER Memory_Type Simple_Dual_Port_RAM
SET_PARAMETER ecctype No_ECC
SET_PARAMETER ECC false
SET_PARAMETER softecc false
SET_PARAMETER Use_Error_Injection_Pins false
SET_PARAMETER Error_Injection_Type Single_Bit_Error_Injection
SET_PARAMETER Use_Byte_Write_Enable false
SET_PARAMETER Byte_Size 9
SET_PARAMETER Algorithm Minimum_Area
SET_PARAMETER Primitive 8kx2
SET_PARAMETER Assume_Synchronous_Clk false
SET_PARAMETER Write_Width_A 8
SET_PARAMETER Write_Depth_A 4096
SET_PARAMETER Read_Width_A 8
SET_PARAMETER Operating_Mode_A WRITE_FIRST
SET_PARAMETER Enable_A Use_ENA_Pin
SET_PARAMETER Write_Width_B 8
SET_PARAMETER Read_Width_B 8
SET_PARAMETER Operating_Mode_B WRITE_FIRST
SET_PARAMETER Enable_B Use_ENB_Pin
SET_PARAMETER Register_PortA_Output_of_Memory_Primitives false
SET_PARAMETER Register_PortA_Output_of_Memory_Core false
SET_PARAMETER Use_REGCEA_Pin false
SET_PARAMETER Register_PortB_Output_of_Memory_Primitives false
SET_PARAMETER Register_PortB_Output_of_Memory_Core false
SET_PARAMETER Use_REGCEB_Pin false
SET_PARAMETER register_porta_input_of_softecc false
SET_PARAMETER register_portb_output_of_softecc false
SET_PARAMETER Pipeline_Stages 0
SET_PARAMETER Load_Init_File false
SET_PARAMETER Coe_File no_coe_file_loaded
SET_PARAMETER Fill_Remaining_Memory_Locations false
SET_PARAMETER Remaining_Memory_Locations 0
SET_PARAMETER Use_RSTA_Pin false
SET_PARAMETER Reset_Memory_Latch_A false
SET_PARAMETER Reset_Priority_A CE
SET_PARAMETER Output_Reset_Value_A 0
SET_PARAMETER Use_RSTB_Pin false
SET_PARAMETER Reset_Memory_Latch_B false
SET_PARAMETER Reset_Priority_B CE
SET_PARAMETER Output_Reset_Value_B 0
SET_PARAMETER Reset_Type SYNC
SET_PARAMETER Additional_Inputs_for_Power_Estimation false
SET_PARAMETER Port_A_Clock 100
SET_PARAMETER Port_A_Write_Rate 50
SET_PARAMETER Port_B_Clock 100
SET_PARAMETER Port_B_Write_Rate 0
SET_PARAMETER Port_A_Enable_Rate 100
SET_PARAMETER Port_B_Enable_Rate 100
SET_PARAMETER Collision_Warnings ALL
SET_PARAMETER Disable_Collision_Warnings false
SET_PARAMETER Disable_Out_of_Range_Warnings false
SET_CORE_NAME Block Memory Generator
SET_CORE_VERSION 6.2
SET_CORE_VLNV xilinx.com:ip:blk_mem_gen:6.2
SET_CORE_CLASS com.xilinx.ip.blk_mem_gen_v6_2.blk_mem_gen_v6_2
SET_CORE_PATH C:/Xilinx/13.2/ISE_DS/ISE/coregen/ip/xilinx/primary/com/xilinx/ip/blk_mem_gen_v6_2
SET_CORE_GUIPATH C:/Xilinx/13.2/ISE_DS/ISE/coregen/ip/xilinx/primary/com/xilinx/ip/blk_mem_gen_v6_2/gui/blk_mem_gen_v6_2.tcl
SET_CORE_DATASHEET C:\Xilinx\13.2\ISE_DS\ISE\coregen\ip\xilinx\primary\com\xilinx\ip\blk_mem_gen_v6_2\doc\blk_mem_gen_ds512.pdf
ADD_CORE_DOCUMENT <C:\Xilinx\13.2\ISE_DS\ISE\coregen\ip\xilinx\primary\com\xilinx\ip\blk_mem_gen_v6_2\doc\blk_mem_gen_ds512.pdf><blk_mem_gen_ds512.pdf>
ADD_CORE_DOCUMENT <C:\Xilinx\13.2\ISE_DS\ISE\coregen\ip\xilinx\primary\com\xilinx\ip\blk_mem_gen_v6_2\doc\blk_mem_gen_v6_2_vinfo.html><blk_mem_gen_v6_2_vinfo.html>
SET_PARAMETER use_rstb_pin false
SET_PARAMETER pipeline_stages 0
SET_PARAMETER assume_synchronous_clk false
SET_PARAMETER use_regcea_pin false
SET_PARAMETER axi_id_width 4
SET_PARAMETER softecc false
SET_PARAMETER load_init_file false
SET_PARAMETER port_a_write_rate 50
SET_PARAMETER disable_collision_warnings false
SET_PARAMETER use_byte_write_enable false
SET_PARAMETER ecc false
SET_PARAMETER primitive 8kx2
SET_PARAMETER port_b_clock 100
SET_PARAMETER remaining_memory_locations 0
SET_PARAMETER memory_type Simple_Dual_Port_RAM
SET_PARAMETER register_porta_input_of_softecc false
SET_PARAMETER port_a_clock 100
SET_PARAMETER read_width_a 8
SET_PARAMETER disable_out_of_range_warnings false
SET_PARAMETER read_width_b 8
SET_PARAMETER register_portb_output_of_softecc false
SET_PARAMETER byte_size 9
SET_PARAMETER register_portb_output_of_memory_core false
SET_PARAMETER use_regceb_pin false
SET_PARAMETER register_porta_output_of_memory_core false
SET_PARAMETER reset_memory_latch_a false
SET_PARAMETER reset_memory_latch_b false
SET_PARAMETER register_porta_output_of_memory_primitives false
SET_PARAMETER use_error_injection_pins false
SET_PARAMETER enable_a Use_ENA_Pin
SET_PARAMETER enable_b Use_ENB_Pin
SET_PARAMETER port_a_enable_rate 100
SET_PARAMETER use_axi_id false
SET_PARAMETER write_depth_a 4096
SET_PARAMETER algorithm Minimum_Area
SET_PARAMETER output_reset_value_a 0
SET_PARAMETER output_reset_value_b 0
SET_PARAMETER error_injection_type Single_Bit_Error_Injection
SET_PARAMETER port_b_write_rate 0
SET_PARAMETER ecctype No_ECC
SET_PARAMETER write_width_a 8
SET_PARAMETER write_width_b 8
SET_PARAMETER component_name spiloopmem
SET_PARAMETER reset_priority_a CE
SET_PARAMETER reset_priority_b CE
SET_PARAMETER operating_mode_a WRITE_FIRST
SET_PARAMETER additional_inputs_for_power_estimation false
SET_PARAMETER operating_mode_b WRITE_FIRST
SET_PARAMETER interface_type Native
SET_PARAMETER reset_type SYNC
SET_PARAMETER register_portb_output_of_memory_primitives false
SET_PARAMETER use_rsta_pin false
SET_PARAMETER port_b_enable_rate 100
SET_PARAMETER coe_file no_coe_file_loaded
SET_PARAMETER fill_remaining_memory_locations false
SET_PARAMETER axi_slave_type Memory_Slave
SET_PARAMETER axi_type AXI4_Full
SET_PARAMETER collision_warnings ALL
SET_ERROR_CODE 2
SET_ERROR_MSG CANCEL: Customization cancelled.
SET_ERROR_TEXT Finished initializing IP model.
User Configuration
-------------------------------------
Algorithm : Minimum_Area
Memory Type : Simple_Dual_Port_RAM
Port A Write Width : 8
Port B Read Width : 8
Memory Depth : 4096
--------------------------------------------------------------
Block RAM resource(s) (9K BRAMs) : 0
Block RAM resource(s) (18K BRAMs) : 2
--------------------------------------------------------------
Clock A Frequency : 100
Port A Enable Rate : 100
Port A Write Rate : 50
----------------------------------------------------------
Estimated Power for IP : 5.357579 mW
----------------------------------------------------------
......@@ -8,7 +8,7 @@
<!-- Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -->
<messages>
<msg type="info" file="ProjectMgmt" num="1769" ><arg fmt="%s" index="1">Analyzing Verilog file \&quot;C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/buffermem.v\&quot; into library work</arg>
<msg type="info" file="ProjectMgmt" num="1769" ><arg fmt="%s" index="1">Analyzing Verilog file \&quot;C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/spiloopmem.v\&quot; into library work</arg>
</msg>
</messages>
......
......@@ -5,7 +5,7 @@
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
<messages>
<msg type="warning" file="UtilitiesC" num="159" delta="new" >Message file &quot;<arg fmt="%s" index="1">usenglish/ip.msg</arg>&quot; wasn&apos;t found.
<msg type="warning" file="UtilitiesC" num="159" delta="old" >Message file &quot;<arg fmt="%s" index="1">usenglish/ip.msg</arg>&quot; wasn&apos;t found.
</msg>
<msg type="info" file="ip" num="0" delta="new" ><arg fmt="%d" index="1">0</arg>: (<arg fmt="%d" index="2">0</arg>,<arg fmt="%d" index="3">0</arg>) : <arg fmt="%d" index="4">4</arg>x<arg fmt="%d" index="5">4096</arg> u:<arg fmt="%d" index="6">4</arg>
......@@ -20,310 +20,325 @@
<msg type="info" file="ip" num="0" delta="new" ><arg fmt="%d" index="1">1</arg>: (<arg fmt="%d" index="2">4</arg>,<arg fmt="%d" index="3">0</arg>) : <arg fmt="%d" index="4">4</arg>x<arg fmt="%d" index="5">4096</arg> u:<arg fmt="%d" index="6">4</arg>
</msg>
<msg type="warning" file="HDLCompiler" num="321" delta="new" >"C:\Users\mjlyons\workspace\vSPI\projnav\xps\pcores\spiifc_v1_00_a\devl\projnav\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v6_2\blk_mem_input_block.vhd" Line 691: Comparison between arrays of unequal length always returns <arg fmt="%s" index="1">FALSE</arg>.
<msg type="warning" file="HDLCompiler" num="321" delta="old" >"C:\Users\mjlyons\workspace\vSPI\projnav\xps\pcores\spiifc_v1_00_a\devl\projnav\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v6_2\blk_mem_input_block.vhd" Line 691: Comparison between arrays of unequal length always returns <arg fmt="%s" index="1">FALSE</arg>.
</msg>
<msg type="warning" file="HDLCompiler" num="321" delta="new" >"C:\Users\mjlyons\workspace\vSPI\projnav\xps\pcores\spiifc_v1_00_a\devl\projnav\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v6_2\blk_mem_input_block.vhd" Line 707: Comparison between arrays of unequal length always returns <arg fmt="%s" index="1">FALSE</arg>.
<msg type="warning" file="HDLCompiler" num="321" delta="old" >"C:\Users\mjlyons\workspace\vSPI\projnav\xps\pcores\spiifc_v1_00_a\devl\projnav\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v6_2\blk_mem_input_block.vhd" Line 707: Comparison between arrays of unequal length always returns <arg fmt="%s" index="1">FALSE</arg>.
</msg>
<msg type="warning" file="HDLCompiler" num="746" delta="new" >"C:\Users\mjlyons\workspace\vSPI\projnav\xps\pcores\spiifc_v1_00_a\devl\projnav\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v6_2\blk_mem_gen_prim_width.vhd" Line 977: Range is empty (null range)
<msg type="warning" file="HDLCompiler" num="746" delta="old" >"C:\Users\mjlyons\workspace\vSPI\projnav\xps\pcores\spiifc_v1_00_a\devl\projnav\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v6_2\blk_mem_gen_prim_width.vhd" Line 977: Range is empty (null range)
</msg>
<msg type="warning" file="HDLCompiler" num="220" delta="new" >"C:\Users\mjlyons\workspace\vSPI\projnav\xps\pcores\spiifc_v1_00_a\devl\projnav\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v6_2\blk_mem_gen_prim_width.vhd" Line 977: Assignment ignored
<msg type="warning" file="HDLCompiler" num="220" delta="old" >"C:\Users\mjlyons\workspace\vSPI\projnav\xps\pcores\spiifc_v1_00_a\devl\projnav\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v6_2\blk_mem_gen_prim_width.vhd" Line 977: Assignment ignored
</msg>
<msg type="warning" file="HDLCompiler" num="746" delta="new" >"C:\Users\mjlyons\workspace\vSPI\projnav\xps\pcores\spiifc_v1_00_a\devl\projnav\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v6_2\blk_mem_gen_prim_width.vhd" Line 978: Range is empty (null range)
<msg type="warning" file="HDLCompiler" num="746" delta="old" >"C:\Users\mjlyons\workspace\vSPI\projnav\xps\pcores\spiifc_v1_00_a\devl\projnav\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v6_2\blk_mem_gen_prim_width.vhd" Line 978: Range is empty (null range)
</msg>
<msg type="warning" file="HDLCompiler" num="220" delta="new" >"C:\Users\mjlyons\workspace\vSPI\projnav\xps\pcores\spiifc_v1_00_a\devl\projnav\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v6_2\blk_mem_gen_prim_width.vhd" Line 978: Assignment ignored
<msg type="warning" file="HDLCompiler" num="220" delta="old" >"C:\Users\mjlyons\workspace\vSPI\projnav\xps\pcores\spiifc_v1_00_a\devl\projnav\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v6_2\blk_mem_gen_prim_width.vhd" Line 978: Assignment ignored
</msg>
<msg type="warning" file="HDLCompiler" num="634" delta="new" >"C:\Users\mjlyons\workspace\vSPI\projnav\xps\pcores\spiifc_v1_00_a\devl\projnav\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v6_2\blk_mem_gen_prim_width.vhd" Line 433: Net &lt;<arg fmt="%s" index="1">dinb_pad[17]</arg>&gt; does not have a driver.
<msg type="warning" file="HDLCompiler" num="634" delta="new" >"C:\Users\mjlyons\workspace\vSPI\projnav\xps\pcores\spiifc_v1_00_a\devl\projnav\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v6_2\blk_mem_gen_prim_wrapper_s6.vhd" Line 490: Net &lt;<arg fmt="%s" index="1">douta_i[3]</arg>&gt; does not have a driver.
</msg>
<msg type="warning" file="HDLCompiler" num="321" delta="new" >"C:\Users\mjlyons\workspace\vSPI\projnav\xps\pcores\spiifc_v1_00_a\devl\projnav\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v6_2\blk_mem_gen_generic_cstr.vhd" Line 1544: Comparison between arrays of unequal length always returns <arg fmt="%s" index="1">FALSE</arg>.
<msg type="warning" file="HDLCompiler" num="321" delta="old" >"C:\Users\mjlyons\workspace\vSPI\projnav\xps\pcores\spiifc_v1_00_a\devl\projnav\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v6_2\blk_mem_gen_generic_cstr.vhd" Line 1544: Comparison between arrays of unequal length always returns <arg fmt="%s" index="1">FALSE</arg>.
</msg>
<msg type="warning" file="HDLCompiler" num="321" delta="new" >"C:\Users\mjlyons\workspace\vSPI\projnav\xps\pcores\spiifc_v1_00_a\devl\projnav\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v6_2\blk_mem_gen_generic_cstr.vhd" Line 1557: Comparison between arrays of unequal length always returns <arg fmt="%s" index="1">FALSE</arg>.
<msg type="warning" file="HDLCompiler" num="321" delta="old" >"C:\Users\mjlyons\workspace\vSPI\projnav\xps\pcores\spiifc_v1_00_a\devl\projnav\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v6_2\blk_mem_gen_generic_cstr.vhd" Line 1557: Comparison between arrays of unequal length always returns <arg fmt="%s" index="1">FALSE</arg>.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/buffermem.vhd</arg>&quot; line <arg fmt="%s" index="2">166</arg>: Output port &lt;<arg fmt="%s" index="3">rdaddrecc</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/spiloopmem.vhd</arg>&quot; line <arg fmt="%s" index="2">160</arg>: Output port &lt;<arg fmt="%s" index="3">douta</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/buffermem.vhd</arg>&quot; line <arg fmt="%s" index="2">166</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_bid</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/spiloopmem.vhd</arg>&quot; line <arg fmt="%s" index="2">160</arg>: Output port &lt;<arg fmt="%s" index="3">rdaddrecc</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/buffermem.vhd</arg>&quot; line <arg fmt="%s" index="2">166</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_bresp</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/spiloopmem.vhd</arg>&quot; line <arg fmt="%s" index="2">160</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_bid</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/buffermem.vhd</arg>&quot; line <arg fmt="%s" index="2">166</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_rid</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/spiloopmem.vhd</arg>&quot; line <arg fmt="%s" index="2">160</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_bresp</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/buffermem.vhd</arg>&quot; line <arg fmt="%s" index="2">166</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_rdata</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/spiloopmem.vhd</arg>&quot; line <arg fmt="%s" index="2">160</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_rid</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/buffermem.vhd</arg>&quot; line <arg fmt="%s" index="2">166</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_rresp</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/spiloopmem.vhd</arg>&quot; line <arg fmt="%s" index="2">160</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_rdata</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/buffermem.vhd</arg>&quot; line <arg fmt="%s" index="2">166</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_rdaddrecc</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/spiloopmem.vhd</arg>&quot; line <arg fmt="%s" index="2">160</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_rresp</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/buffermem.vhd</arg>&quot; line <arg fmt="%s" index="2">166</arg>: Output port &lt;<arg fmt="%s" index="3">sbiterr</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/spiloopmem.vhd</arg>&quot; line <arg fmt="%s" index="2">160</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_rdaddrecc</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/buffermem.vhd</arg>&quot; line <arg fmt="%s" index="2">166</arg>: Output port &lt;<arg fmt="%s" index="3">dbiterr</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/spiloopmem.vhd</arg>&quot; line <arg fmt="%s" index="2">160</arg>: Output port &lt;<arg fmt="%s" index="3">sbiterr</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/buffermem.vhd</arg>&quot; line <arg fmt="%s" index="2">166</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_awready</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/spiloopmem.vhd</arg>&quot; line <arg fmt="%s" index="2">160</arg>: Output port &lt;<arg fmt="%s" index="3">dbiterr</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/buffermem.vhd</arg>&quot; line <arg fmt="%s" index="2">166</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_wready</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/spiloopmem.vhd</arg>&quot; line <arg fmt="%s" index="2">160</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_awready</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/buffermem.vhd</arg>&quot; line <arg fmt="%s" index="2">166</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_bvalid</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/spiloopmem.vhd</arg>&quot; line <arg fmt="%s" index="2">160</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_wready</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/buffermem.vhd</arg>&quot; line <arg fmt="%s" index="2">166</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_arready</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/spiloopmem.vhd</arg>&quot; line <arg fmt="%s" index="2">160</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_bvalid</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/buffermem.vhd</arg>&quot; line <arg fmt="%s" index="2">166</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_rlast</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/spiloopmem.vhd</arg>&quot; line <arg fmt="%s" index="2">160</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_arready</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/buffermem.vhd</arg>&quot; line <arg fmt="%s" index="2">166</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_rvalid</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/spiloopmem.vhd</arg>&quot; line <arg fmt="%s" index="2">160</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_rlast</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/buffermem.vhd</arg>&quot; line <arg fmt="%s" index="2">166</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_sbiterr</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/spiloopmem.vhd</arg>&quot; line <arg fmt="%s" index="2">160</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_rvalid</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/buffermem.vhd</arg>&quot; line <arg fmt="%s" index="2">166</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_dbiterr</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/spiloopmem.vhd</arg>&quot; line <arg fmt="%s" index="2">160</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_sbiterr</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">S_AXI_AWID&lt;3:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/spiloopmem.vhd</arg>&quot; line <arg fmt="%s" index="2">160</arg>: Output port &lt;<arg fmt="%s" index="3">s_axi_dbiterr</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">S_AXI_AWADDR&lt;31:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">S_AXI_AWID&lt;3:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">S_AXI_AWLEN&lt;7:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">S_AXI_AWADDR&lt;31:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">S_AXI_AWSIZE&lt;2:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">S_AXI_AWLEN&lt;7:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">S_AXI_AWBURST&lt;1:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">S_AXI_AWSIZE&lt;2:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">S_AXI_WDATA&lt;7:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">S_AXI_AWBURST&lt;1:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">S_AXI_WSTRB&lt;0:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">S_AXI_WDATA&lt;7:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">S_AXI_ARID&lt;3:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">S_AXI_WSTRB&lt;0:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">S_AXI_ARADDR&lt;31:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">S_AXI_ARID&lt;3:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">S_AXI_ARLEN&lt;7:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">S_AXI_ARADDR&lt;31:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">S_AXI_ARSIZE&lt;2:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">S_AXI_ARLEN&lt;7:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">S_AXI_ARBURST&lt;1:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">S_AXI_ARSIZE&lt;2:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">S_AClk</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">S_AXI_ARBURST&lt;1:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">S_ARESETN</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">S_AClk</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">S_AXI_AWVALID</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">S_ARESETN</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">S_AXI_WLAST</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">S_AXI_AWVALID</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">S_AXI_WVALID</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">S_AXI_WLAST</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">S_AXI_BREADY</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">S_AXI_WVALID</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">S_AXI_ARVALID</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">S_AXI_BREADY</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">S_AXI_RREADY</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">S_AXI_ARVALID</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">S_AXI_INJECTSBITERR</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">S_AXI_RREADY</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">S_AXI_INJECTDBITERR</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">S_AXI_INJECTSBITERR</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="2935" delta="new" >Signal &apos;<arg fmt="%s" index="1">S_AXI_BID</arg>&apos;, unconnected in block &apos;<arg fmt="%s" index="2">blk_mem_gen_v6_2_xst</arg>&apos;, is tied to its initial value (<arg fmt="%s" index="3">0000</arg>).
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">S_AXI_INJECTDBITERR</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="653" delta="new" >Signal &lt;<arg fmt="%s" index="1">S_AXI_BRESP</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
<msg type="warning" file="Xst" num="2935" delta="old" >Signal &apos;<arg fmt="%s" index="1">S_AXI_BID</arg>&apos;, unconnected in block &apos;<arg fmt="%s" index="2">blk_mem_gen_v6_2_xst</arg>&apos;, is tied to its initial value (<arg fmt="%s" index="3">0000</arg>).
</msg>
<msg type="warning" file="Xst" num="2935" delta="new" >Signal &apos;<arg fmt="%s" index="1">S_AXI_RID</arg>&apos;, unconnected in block &apos;<arg fmt="%s" index="2">blk_mem_gen_v6_2_xst</arg>&apos;, is tied to its initial value (<arg fmt="%s" index="3">0000</arg>).
<msg type="warning" file="Xst" num="653" delta="old" >Signal &lt;<arg fmt="%s" index="1">S_AXI_BRESP</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
</msg>
<msg type="warning" file="Xst" num="653" delta="new" >Signal &lt;<arg fmt="%s" index="1">S_AXI_RDATA</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
<msg type="warning" file="Xst" num="2935" delta="old" >Signal &apos;<arg fmt="%s" index="1">S_AXI_RID</arg>&apos;, unconnected in block &apos;<arg fmt="%s" index="2">blk_mem_gen_v6_2_xst</arg>&apos;, is tied to its initial value (<arg fmt="%s" index="3">0000</arg>).
</msg>
<msg type="warning" file="Xst" num="653" delta="new" >Signal &lt;<arg fmt="%s" index="1">S_AXI_RRESP</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
<msg type="warning" file="Xst" num="653" delta="old" >Signal &lt;<arg fmt="%s" index="1">S_AXI_RDATA</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
</msg>
<msg type="warning" file="Xst" num="653" delta="new" >Signal &lt;<arg fmt="%s" index="1">S_AXI_RDADDRECC</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
<msg type="warning" file="Xst" num="653" delta="old" >Signal &lt;<arg fmt="%s" index="1">S_AXI_RRESP</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
</msg>
<msg type="warning" file="Xst" num="653" delta="new" >Signal &lt;<arg fmt="%s" index="1">S_AXI_AWREADY</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
<msg type="warning" file="Xst" num="653" delta="old" >Signal &lt;<arg fmt="%s" index="1">S_AXI_RDADDRECC</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
</msg>
<msg type="warning" file="Xst" num="653" delta="new" >Signal &lt;<arg fmt="%s" index="1">S_AXI_WREADY</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
<msg type="warning" file="Xst" num="653" delta="old" >Signal &lt;<arg fmt="%s" index="1">S_AXI_AWREADY</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
</msg>
<msg type="warning" file="Xst" num="653" delta="new" >Signal &lt;<arg fmt="%s" index="1">S_AXI_BVALID</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
<msg type="warning" file="Xst" num="653" delta="old" >Signal &lt;<arg fmt="%s" index="1">S_AXI_WREADY</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
</msg>
<msg type="warning" file="Xst" num="653" delta="new" >Signal &lt;<arg fmt="%s" index="1">S_AXI_ARREADY</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
<msg type="warning" file="Xst" num="653" delta="old" >Signal &lt;<arg fmt="%s" index="1">S_AXI_BVALID</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
</msg>
<msg type="warning" file="Xst" num="653" delta="new" >Signal &lt;<arg fmt="%s" index="1">S_AXI_RLAST</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
<msg type="warning" file="Xst" num="653" delta="old" >Signal &lt;<arg fmt="%s" index="1">S_AXI_ARREADY</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
</msg>
<msg type="warning" file="Xst" num="653" delta="new" >Signal &lt;<arg fmt="%s" index="1">S_AXI_RVALID</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
<msg type="warning" file="Xst" num="653" delta="old" >Signal &lt;<arg fmt="%s" index="1">S_AXI_RLAST</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
</msg>
<msg type="warning" file="Xst" num="653" delta="new" >Signal &lt;<arg fmt="%s" index="1">S_AXI_SBITERR</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
<msg type="warning" file="Xst" num="653" delta="old" >Signal &lt;<arg fmt="%s" index="1">S_AXI_RVALID</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
</msg>
<msg type="warning" file="Xst" num="653" delta="new" >Signal &lt;<arg fmt="%s" index="1">S_AXI_DBITERR</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
<msg type="warning" file="Xst" num="653" delta="old" >Signal &lt;<arg fmt="%s" index="1">S_AXI_SBITERR</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">RSTA</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="653" delta="old" >Signal &lt;<arg fmt="%s" index="1">S_AXI_DBITERR</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">REGCEA</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">WEB&lt;0:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">RSTB</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">DINB&lt;7:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">REGCEB</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">RSTA</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">INJECTDBITERR</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">REGCEA</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">INJECTSBITERR</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">RSTB</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="653" delta="new" >Signal &lt;<arg fmt="%s" index="1">INJECTDBITERR_I</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">REGCEB</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="653" delta="new" >Signal &lt;<arg fmt="%s" index="1">INJECTSBITERR_I</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">INJECTDBITERR</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">REGCEA&lt;0:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">INJECTSBITERR</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">REGCEB&lt;0:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="653" delta="old" >Signal &lt;<arg fmt="%s" index="1">INJECTDBITERR_I</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">WEB&lt;3:1&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="653" delta="old" >Signal &lt;<arg fmt="%s" index="1">INJECTSBITERR_I</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">INJECTSBITERR</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">REGCEA&lt;0:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">INJECTDBITERR</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">REGCEB&lt;0:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/blk_mem_gen_v6_2/blk_mem_gen_generic_cstr.vhd</arg>&quot; line <arg fmt="%s" index="2">1341</arg>: Output port &lt;<arg fmt="%s" index="3">SBITERR</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">ramloop[0].ram.r</arg>&gt; is unconnected or connected to loadless signal.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">INJECTSBITERR</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/blk_mem_gen_v6_2/blk_mem_gen_generic_cstr.vhd</arg>&quot; line <arg fmt="%s" index="2">1341</arg>: Output port &lt;<arg fmt="%s" index="3">DBITERR</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">ramloop[0].ram.r</arg>&gt; is unconnected or connected to loadless signal.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">INJECTDBITERR</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/blk_mem_gen_v6_2/blk_mem_gen_generic_cstr.vhd</arg>&quot; line <arg fmt="%s" index="2">1341</arg>: Output port &lt;<arg fmt="%s" index="3">SBITERR</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">ramloop[1].ram.r</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="old" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/blk_mem_gen_v6_2/blk_mem_gen_generic_cstr.vhd</arg>&quot; line <arg fmt="%s" index="2">1341</arg>: Output port &lt;<arg fmt="%s" index="3">SBITERR</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">ramloop[0].ram.r</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/blk_mem_gen_v6_2/blk_mem_gen_generic_cstr.vhd</arg>&quot; line <arg fmt="%s" index="2">1341</arg>: Output port &lt;<arg fmt="%s" index="3">DBITERR</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">ramloop[1].ram.r</arg>&gt; is unconnected or connected to loadless signal.
<msg type="info" file="Xst" num="3210" delta="old" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/blk_mem_gen_v6_2/blk_mem_gen_generic_cstr.vhd</arg>&quot; line <arg fmt="%s" index="2">1341</arg>: Output port &lt;<arg fmt="%s" index="3">DBITERR</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">ramloop[0].ram.r</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="warning" file="Xst" num="653" delta="new" >Signal &lt;<arg fmt="%s" index="1">RDADDRECC</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
<msg type="info" file="Xst" num="3210" delta="old" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/blk_mem_gen_v6_2/blk_mem_gen_generic_cstr.vhd</arg>&quot; line <arg fmt="%s" index="2">1341</arg>: Output port &lt;<arg fmt="%s" index="3">SBITERR</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">ramloop[1].ram.r</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="warning" file="Xst" num="653" delta="new" >Signal &lt;<arg fmt="%s" index="1">SBITERR</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
<msg type="info" file="Xst" num="3210" delta="old" >&quot;<arg fmt="%s" index="1">c:/users/mjlyons/workspace/vspi/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/tmp/_cg/_dbg/blk_mem_gen_v6_2/blk_mem_gen_generic_cstr.vhd</arg>&quot; line <arg fmt="%s" index="2">1341</arg>: Output port &lt;<arg fmt="%s" index="3">DBITERR</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">ramloop[1].ram.r</arg>&gt; is unconnected or connected to loadless signal.
</msg>
<msg type="warning" file="Xst" num="653" delta="new" >Signal &lt;<arg fmt="%s" index="1">DBITERR</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
<msg type="warning" file="Xst" num="653" delta="old" >Signal &lt;<arg fmt="%s" index="1">RDADDRECC</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">INJECTSBITERR</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="653" delta="old" >Signal &lt;<arg fmt="%s" index="1">SBITERR</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">INJECTDBITERR</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="653" delta="old" >Signal &lt;<arg fmt="%s" index="1">DBITERR</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
</msg>
<msg type="warning" file="Xst" num="2935" delta="new" >Signal &apos;<arg fmt="%s" index="1">dinb_pad&lt;17&gt;</arg>&apos;, unconnected in block &apos;<arg fmt="%s" index="2">blk_mem_gen_prim_width_1</arg>&apos;, is tied to its initial value (<arg fmt="%s" index="3">0</arg>).
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">INJECTSBITERR</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="2935" delta="new" >Signal &apos;<arg fmt="%s" index="1">dinb_pad&lt;8&gt;</arg>&apos;, unconnected in block &apos;<arg fmt="%s" index="2">blk_mem_gen_prim_width_1</arg>&apos;, is tied to its initial value (<arg fmt="%s" index="3">0</arg>).
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">INJECTDBITERR</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="653" delta="new" >Signal &lt;<arg fmt="%s" index="1">SBITERR</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
<msg type="warning" file="Xst" num="653" delta="old" >Signal &lt;<arg fmt="%s" index="1">SBITERR</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
</msg>
<msg type="warning" file="Xst" num="653" delta="new" >Signal &lt;<arg fmt="%s" index="1">DBITERR</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
<msg type="warning" file="Xst" num="653" delta="old" >Signal &lt;<arg fmt="%s" index="1">DBITERR</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">INJECTSBITERR</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">WEB&lt;0:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">INJECTDBITERR</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">DINB&lt;3:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="2935" delta="new" >Signal &apos;<arg fmt="%s" index="1">dinb_pad&lt;17&gt;</arg>&apos;, unconnected in block &apos;<arg fmt="%s" index="2">blk_mem_gen_prim_width_2</arg>&apos;, is tied to its initial value (<arg fmt="%s" index="3">0</arg>).
<msg type="warning" file="Xst" num="2935" delta="new" >Signal &apos;<arg fmt="%s" index="1">douta_i</arg>&apos;, unconnected in block &apos;<arg fmt="%s" index="2">blk_mem_gen_prim_wrapper_s6_1</arg>&apos;, is tied to its initial value (<arg fmt="%s" index="3">0000</arg>).
</msg>
<msg type="warning" file="Xst" num="2935" delta="new" >Signal &apos;<arg fmt="%s" index="1">dinb_pad&lt;8&gt;</arg>&apos;, unconnected in block &apos;<arg fmt="%s" index="2">blk_mem_gen_prim_width_2</arg>&apos;, is tied to its initial value (<arg fmt="%s" index="3">0</arg>).
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">INJECTSBITERR</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="653" delta="new" >Signal &lt;<arg fmt="%s" index="1">SBITERR</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">INJECTDBITERR</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="653" delta="new" >Signal &lt;<arg fmt="%s" index="1">DBITERR</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
<msg type="warning" file="Xst" num="653" delta="old" >Signal &lt;<arg fmt="%s" index="1">SBITERR</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">RDADDRECC_I&lt;9:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="653" delta="old" >Signal &lt;<arg fmt="%s" index="1">DBITERR</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">CLKB</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">WEB&lt;0:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">SBITERR_I</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">DINB&lt;3:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">DBITERR_I</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
<msg type="warning" file="Xst" num="2935" delta="new" >Signal &apos;<arg fmt="%s" index="1">douta_i</arg>&apos;, unconnected in block &apos;<arg fmt="%s" index="2">blk_mem_gen_prim_wrapper_s6_2</arg>&apos;, is tied to its initial value (<arg fmt="%s" index="3">0000</arg>).
</msg>
<msg type="warning" file="Xst" num="653" delta="new" >Signal &lt;<arg fmt="%s" index="1">RDADDRECC</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">DOUTA_I&lt;7:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="653" delta="new" >Signal &lt;<arg fmt="%s" index="1">SBITERR</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">RDADDRECC_I&lt;11:0&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="653" delta="new" >Signal &lt;<arg fmt="%s" index="1">DBITERR</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">CLKB</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="info" file="Xst" num="2169" delta="new" >HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems.
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">SBITERR_I</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">DBITERR_I</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
<msg type="warning" file="Xst" num="653" delta="old" >Signal &lt;<arg fmt="%s" index="1">RDADDRECC</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
</msg>
<msg type="warning" file="Xst" num="653" delta="old" >Signal &lt;<arg fmt="%s" index="1">SBITERR</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
</msg>
<msg type="warning" file="Xst" num="653" delta="old" >Signal &lt;<arg fmt="%s" index="1">DBITERR</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
</msg>
<msg type="info" file="Xst" num="2169" delta="old" >HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems.
</msg>
</messages>
......
......@@ -11,13 +11,13 @@
<ClosedNode>/spiifc - IMP C:|Users|mjlyons|workspace|vSPI|projnav|xps|pcores|spiifc_v1_00_a|hdl|vhdl|spiifc.vhd/PLBV46_SLAVE_BURST_I - plbv46_slave_burst - implementation/I_SLAVE_ATTACHMENT - plb_slave_attachment - implementation</ClosedNode>
</ClosedNodes>
<SelectedItems>
<SelectedItem>spiwrap (C:/Users/mjlyons/workspace/vSPI/src/spi_base/spiwrap.v)</SelectedItem>
<SelectedItem>spiloop (C:/Users/mjlyons/workspace/vSPI/src/spi_base/spiloop.v)</SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000225000000020000000000000000000000000200000064ffffffff000000810000000300000002000002250000000100000003000000000000000100000003</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >true</UserChangedColumnWidths>
<CurrentItem>spiwrap (C:/Users/mjlyons/workspace/vSPI/src/spi_base/spiwrap.v)</CurrentItem>
<CurrentItem>spiloop (C:/Users/mjlyons/workspace/vSPI/src/spi_base/spiloop.v)</CurrentItem>
</ItemView>
<ItemView engineview="SynthesisOnly" sourcetype="DESUT_VHDL_ARCHITECTURE" guiview="Process" >
<ClosedNodes>
......@@ -44,7 +44,7 @@
<SelectedItems/>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000000000000000100000000000000000000000000000000000003a3000000040101000100000000000000000000000064ffffffff000000810000000000000004000000a800000001000000000000004a00000001000000000000006600000001000000000000024b0000000100000000</ViewHeaderState>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000000000000010000000000000000000000000000000000000392000000040101000100000000000000000000000064ffffffff000000810000000000000004000000a800000001000000000000004a00000001000000000000006600000001000000000000023a0000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem>addr_reg_cntr_brst_flex.vhd</CurrentItem>
</ItemView>
......@@ -88,26 +88,26 @@
<ClosedNode>User Constraints</ClosedNode>
</ClosedNodes>
<SelectedItems>
<SelectedItem>Manage Configuration Project (iMPACT)</SelectedItem>
<SelectedItem>Generate Programming File</SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem>Manage Configuration Project (iMPACT)</CurrentItem>
<CurrentItem>Generate Programming File</CurrentItem>
</ItemView>
<ItemView engineview="SynthesisOnly" sourcetype="DESUT_XCO" guiview="Process" >
<ClosedNodes>
<ClosedNodesVersion>1</ClosedNodesVersion>
</ClosedNodes>
<SelectedItems>
<SelectedItem>View HDL Instantiation Template</SelectedItem>
<SelectedItem/>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem>View HDL Instantiation Template</CurrentItem>
<CurrentItem/>
</ItemView>
<SourceProcessView>000000ff00000000000000020000011b0000011b01000000050100000002</SourceProcessView>
<CurrentView>Implementation</CurrentView>
......
<?xml version='1.0' encoding='UTF-8'?>
<report-views version="2.0" >
<header>
<DateModified>2012-03-07T19:13:42</DateModified>
<ModuleName>spiloop</ModuleName>
<SummaryTimeStamp>2012-03-07T18:47:55</SummaryTimeStamp>
<SavedFilePath>C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/iseconfig/spiloop.xreport</SavedFilePath>
<ImplementationReportsDirectory>C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav</ImplementationReportsDirectory>
<DateInitialized>2012-03-07T18:30:28</DateInitialized>
<EnableMessageFiltering>false</EnableMessageFiltering>
</header>
<body>
<viewgroup label="Design Overview" >
<view inputState="Unknown" program="implementation" ShowPartitionData="false" type="FPGASummary" file="spiloop_summary.html" label="Summary" >
<toc-item title="Design Overview" target="Design Overview" />
<toc-item title="Design Utilization Summary" target="Design Utilization Summary" />
<toc-item title="Performance Summary" target="Performance Summary" />
<toc-item title="Failing Constraints" target="Failing Constraints" />
<toc-item title="Detailed Reports" target="Detailed Reports" />
</view>
<view inputState="Unknown" program="implementation" contextTags="FPGA_ONLY" hidden="true" type="HTML" file="spiloop_envsettings.html" label="System Settings" />
<view inputState="Translated" program="map" locator="MAP_IOB_TABLE" contextTags="FPGA_ONLY" type="IOBProperties" file="spiloop_map.xrpt" label="IOB Properties" />
<view inputState="Translated" program="map" contextTags="FPGA_ONLY" hidden="true" type="Control_Sets" file="spiloop_map.xrpt" label="Control Set Information" />
<view inputState="Translated" program="map" locator="MAP_MODULE_HIERARCHY" contextTags="FPGA_ONLY" type="Module_Utilization" file="spiloop_map.xrpt" label="Module Level Utilization" />
<view inputState="Mapped" program="par" locator="CONSTRAINT_TABLE" contextTags="FPGA_ONLY" type="ConstraintsData" file="spiloop.ptwx" label="Timing Constraints" translator="ptwxToTableXML.xslt" />
<view inputState="Mapped" program="par" locator="PAR_PINOUT_BY_PIN_NUMBER" contextTags="FPGA_ONLY" type="PinoutData" file="spiloop_par.xrpt" label="Pinout Report" />
<view inputState="Mapped" program="par" locator="PAR_CLOCK_TABLE" contextTags="FPGA_ONLY" type="ClocksData" file="spiloop_par.xrpt" label="Clock Report" />
<view inputState="Mapped" program="par" contextTags="FPGA_ONLY,EDK_OFF" type="Timing_Analyzer" file="spiloop.twx" label="Static Timing" />
<view inputState="Translated" program="cpldfit" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="EXTERNAL_HTML" file="spiloop_html/fit/report.htm" label="CPLD Fitter Report" />
<view inputState="Fitted" program="taengine" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="EXTERNAL_HTML" file="spiloop_html/tim/report.htm" label="CPLD Timing Report" />
</viewgroup>
<viewgroup label="XPS Errors and Warnings" >
<view program="platgen" WrapMessages="true" contextTags="EDK_ON" hidden="true" type="MessageList" hideColumns="Filtered" file="__xps/ise/_xmsgs/platgen.xmsgs" label="Platgen Messages" />
<view program="simgen" WrapMessages="true" contextTags="EDK_ON" hidden="true" type="MessageList" hideColumns="Filtered" file="__xps/ise/_xmsgs/simgen.xmsgs" label="Simgen Messages" />
<view program="bitinit" WrapMessages="true" contextTags="EDK_ON" hidden="true" type="MessageList" hideColumns="Filtered" file="__xps/ise/_xmsgs/bitinit.xmsgs" label="BitInit Messages" />
</viewgroup>
<viewgroup label="XPS Reports" >
<view inputState="PreSynthesized" program="platgen" contextTags="EDK_ON" hidden="true" type="Secondary_Report" file="platgen.log" label="Platgen Log File" />
<view inputState="PreSynthesized" program="simgen" contextTags="EDK_ON" hidden="true" type="Secondary_Report" file="simgen.log" label="Simgen Log File" />
<view inputState="PreSynthesized" program="bitinit" contextTags="EDK_ON" hidden="true" type="Secondary_Report" file="bitinit.log" label="BitInit Log File" />
<view inputState="PreSynthesized" program="system" contextTags="EDK_ON" hidden="true" type="Secondary_Report" file="spiloop.log" label="System Log File" />
</viewgroup>
<viewgroup label="Errors and Warnings" >
<view program="pn" WrapMessages="true" contextTags="EDK_OFF" type="MessageList" hideColumns="Filtered, New" file="_xmsgs/pn_parser.xmsgs" label="Parser Messages" />
<view program="xst" WrapMessages="true" contextTags="XST_ONLY,EDK_OFF" hidden="false" type="MessageList" hideColumns="Filtered" file="_xmsgs/xst.xmsgs" label="Synthesis Messages" />
<view inputState="Synthesized" program="ngdbuild" WrapMessages="true" type="MessageList" hideColumns="Filtered" file="_xmsgs/ngdbuild.xmsgs" label="Translation Messages" />
<view inputState="Translated" program="map" WrapMessages="true" contextTags="FPGA_ONLY" type="MessageList" hideColumns="Filtered" file="_xmsgs/map.xmsgs" label="Map Messages" />
<view inputState="Mapped" program="par" WrapMessages="true" contextTags="FPGA_ONLY" type="MessageList" hideColumns="Filtered" file="_xmsgs/par.xmsgs" label="Place and Route Messages" />
<view inputState="Routed" program="trce" WrapMessages="true" contextTags="FPGA_ONLY" type="MessageList" hideColumns="Filtered" file="_xmsgs/trce.xmsgs" label="Timing Messages" />
<view inputState="Routed" program="xpwr" WrapMessages="true" contextTags="EDK_OFF" hidden="true" type="MessageList" hideColumns="Filtered" file="_xmsgs/xpwr.xmsgs" label="Power Messages" />
<view inputState="Routed" program="bitgen" WrapMessages="true" contextTags="FPGA_ONLY" type="MessageList" hideColumns="Filtered" file="_xmsgs/bitgen.xmsgs" label="Bitgen Messages" />
<view inputState="Translated" program="cpldfit" WrapMessages="true" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="MessageList" hideColumns="Filtered" file="_xmsgs/cpldfit.xmsgs" label="Fitter Messages" />
<view inputState="Current" program="implementation" WrapMessages="true" fileList="_xmsgs/xst.xmsgs,_xmsgs/ngdbuild.xmsgs,_xmsgs/map.xmsgs,_xmsgs/par.xmsgs,_xmsgs/trce.xmsgs,_xmsgs/xpwr.xmsgs,_xmsgs/bitgen.xmsgs" contextTags="FPGA_ONLY" type="MessageList" hideColumns="Filtered" file="_xmsgs/*.xmsgs" label="All Implementation Messages" />
<view inputState="Current" program="fitting" WrapMessages="true" fileList="_xmsgs/xst.xmsgs,_xmsgs/ngdbuild.xmsgs,_xmsgs/cpldfit.xmsgs,_xmsgs/xpwr.xmsgs" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="CPLD_MessageList" hideColumns="Filtered" file="_xmsgs/*.xmsgs" label="All Implementation Messages (CPLD)" />
</viewgroup>
<viewgroup label="Detailed Reports" >
<view program="xst" contextTags="XST_ONLY,EDK_OFF" hidden="false" type="Report" file="spiloop.syr" label="Synthesis Report" >
<toc-item title="Top of Report" target="Copyright " searchDir="Forward" />
<toc-item title="Synthesis Options Summary" target=" Synthesis Options Summary " />
<toc-item title="HDL Compilation" target=" HDL Compilation " />
<toc-item title="Design Hierarchy Analysis" target=" Design Hierarchy Analysis " />
<toc-item title="HDL Analysis" target=" HDL Analysis " />
<toc-item title="HDL Parsing" target=" HDL Parsing " />
<toc-item title="HDL Elaboration" target=" HDL Elaboration " />
<toc-item title="HDL Synthesis" target=" HDL Synthesis " />
<toc-item title="HDL Synthesis Report" target="HDL Synthesis Report" searchCnt="2" searchDir="Backward" subItemLevel="1" />
<toc-item title="Advanced HDL Synthesis" target=" Advanced HDL Synthesis " searchDir="Backward" />
<toc-item title="Advanced HDL Synthesis Report" target="Advanced HDL Synthesis Report" subItemLevel="1" />
<toc-item title="Low Level Synthesis" target=" Low Level Synthesis " />
<toc-item title="Partition Report" target=" Partition Report " />
<toc-item title="Final Report" target=" Final Report " />
<toc-item title="Design Summary" target=" Design Summary " />
<toc-item title="Primitive and Black Box Usage" target="Primitive and Black Box Usage:" subItemLevel="1" />
<toc-item title="Device Utilization Summary" target="Device utilization summary:" subItemLevel="1" />
<toc-item title="Partition Resource Summary" target="Partition Resource Summary:" subItemLevel="1" />
<toc-item title="Timing Report" target="Timing Report" subItemLevel="1" />
<toc-item title="Clock Information" target="Clock Information" subItemLevel="2" />
<toc-item title="Asynchronous Control Signals Information" target="Asynchronous Control Signals Information" subItemLevel="2" />
<toc-item title="Timing Summary" target="Timing Summary" subItemLevel="2" />
<toc-item title="Timing Details" target="Timing Details" subItemLevel="2" />
<toc-item title="Cross Clock Domains Report" target="Cross Clock Domains Report:" subItemLevel="2" />
</view>
<view program="synplify" contextTags="SYNPLIFY_ONLY,EDK_OFF" hidden="true" type="Report" file="spiloop.srr" label="Synplify Report" />
<view program="precision" contextTags="PRECISION_ONLY,EDK_OFF" hidden="true" type="Report" file="spiloop.prec_log" label="Precision Report" />
<view inputState="Synthesized" program="ngdbuild" type="Report" file="spiloop.bld" label="Translation Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
<toc-item title="Command Line" target="Command Line:" />
<toc-item title="Partition Status" target="Partition Implementation Status" />
<toc-item title="Final Summary" target="NGDBUILD Design Results Summary:" />
</view>
<view inputState="Translated" program="map" contextTags="FPGA_ONLY" type="Report" file="spiloop_map.mrp" label="Map Report" >
<toc-item title="Top of Report" target="Release" searchDir="Forward" />
<toc-item title="Section 1: Errors" target="Section 1 -" searchDir="Backward" />
<toc-item title="Section 2: Warnings" target="Section 2 -" searchDir="Backward" />
<toc-item title="Section 3: Infos" target="Section 3 -" searchDir="Backward" />
<toc-item title="Section 4: Removed Logic Summary" target="Section 4 -" searchDir="Backward" />
<toc-item title="Section 5: Removed Logic" target="Section 5 -" searchDir="Backward" />
<toc-item title="Section 6: IOB Properties" target="Section 6 -" searchDir="Backward" />
<toc-item title="Section 7: RPMs" target="Section 7 -" searchDir="Backward" />
<toc-item title="Section 8: Guide Report" target="Section 8 -" searchDir="Backward" />
<toc-item title="Section 9: Area Group and Partition Summary" target="Section 9 -" searchDir="Backward" />
<toc-item title="Section 10: Timing Report" target="Section 10 -" searchDir="Backward" />
<toc-item title="Section 11: Configuration String Details" target="Section 11 -" searchDir="Backward" />
<toc-item title="Section 12: Control Set Information" target="Section 12 -" searchDir="Backward" />
<toc-item title="Section 13: Utilization by Hierarchy" target="Section 13 -" searchDir="Backward" />
</view>
<view inputState="Mapped" program="par" contextTags="FPGA_ONLY" type="Report" file="spiloop.par" label="Place and Route Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
<toc-item title="Device Utilization" target="Device Utilization Summary:" />
<toc-item title="Router Information" target="Starting Router" />
<toc-item title="Partition Status" target="Partition Implementation Status" />
<toc-item title="Clock Report" target="Generating Clock Report" />
<toc-item title="Timing Results" target="Timing Score:" />
<toc-item title="Final Summary" target="Peak Memory Usage:" />
</view>
<view inputState="Routed" program="trce" contextTags="FPGA_ONLY" type="Report" file="spiloop.twr" label="Post-PAR Static Timing Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
<toc-item title="Timing Report Description" target="Device,package,speed:" />
<toc-item title="Informational Messages" target="INFO:" />
<toc-item title="Warning Messages" target="WARNING:" />
<toc-item title="Timing Constraints" target="Timing constraint:" />
<toc-item title="Derived Constraint Report" target="Derived Constraint Report" />
<toc-item title="Data Sheet Report" target="Data Sheet report:" />
<toc-item title="Timing Summary" target="Timing summary:" />
<toc-item title="Trace Settings" target="Trace Settings:" />
</view>
<view inputState="Translated" program="cpldfit" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="Report" file="spiloop.rpt" label="CPLD Fitter Report (Text)" >
<toc-item title="Top of Report" target="cpldfit:" searchDir="Forward" />
<toc-item title="Resources Summary" target="** Mapped Resource Summary **" />
<toc-item title="Pin Resources" target="** Pin Resources **" />
<toc-item title="Global Resources" target="** Global Control Resources **" />
</view>
<view inputState="Fitted" program="taengine" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="Report" file="spiloop.tim" label="CPLD Timing Report (Text)" >
<toc-item title="Top of Report" target="Performance Summary Report" searchDir="Forward" />
<toc-item title="Performance Summary" target="Performance Summary:" />
</view>
<view inputState="Routed" program="xpwr" contextTags="EDK_OFF" type="Report" file="spiloop.pwr" label="Power Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
<toc-item title="Power summary" target="Power summary" />
<toc-item title="Thermal summary" target="Thermal summary" />
</view>
<view inputState="Routed" program="bitgen" contextTags="FPGA_ONLY" type="Report" file="spiloop.bgn" label="Bitgen Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
<toc-item title="Bitgen Options" target="Summary of Bitgen Options:" />
<toc-item title="Final Summary" target="DRC detected" />
</view>
</viewgroup>
<viewgroup label="Secondary Reports" >
<view inputState="PreSynthesized" program="isim" hidden="if_missing" type="Secondary_Report" file="isim.log" label="ISIM Simulator Log" />
<view inputState="Synthesized" program="netgen" hidden="if_missing" type="Secondary_Report" file="netgen/synthesis/spiloop_synthesis.nlf" label="Post-Synthesis Simulation Model Report" >
<toc-item title="Top of Report" target="Release" searchDir="Forward" />
</view>
<view inputState="Translated" program="netgen" hidden="if_missing" type="Secondary_Report" file="netgen/translate/spiloop_translate.nlf" label="Post-Translate Simulation Model Report" >
<toc-item title="Top of Report" target="Release" searchDir="Forward" />
</view>
<view inputState="Translated" program="netgen" hidden="if_missing" type="Secondary_Report" file="spiloop_tran_fecn.nlf" label="Post-Translate Formality Netlist Report" />
<view inputState="Translated" program="map" contextTags="FPGA_ONLY" hidden="true" type="Secondary_Report" file="spiloop_map.map" label="Map Log File" >
<toc-item title="Top of Report" target="Release" searchDir="Forward" />
<toc-item title="Design Information" target="Design Information" />
<toc-item title="Design Summary" target="Design Summary" />
</view>
<view inputState="Routed" program="smartxplorer" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="smartxplorer_results/smartxplorer.txt" label="SmartXplorer Report" />
<view inputState="Mapped" program="trce" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="spiloop_preroute.twr" label="Post-Map Static Timing Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
<toc-item title="Timing Report Description" target="Device,package,speed:" />
<toc-item title="Informational Messages" target="INFO:" />
<toc-item title="Warning Messages" target="WARNING:" />
<toc-item title="Timing Constraints" target="Timing constraint:" />
<toc-item title="Derived Constraint Report" target="Derived Constraint Report" />
<toc-item title="Data Sheet Report" target="Data Sheet report:" />
<toc-item title="Timing Summary" target="Timing summary:" />
<toc-item title="Trace Settings" target="Trace Settings:" />
</view>
<view inputState="Mapped" program="netgen" hidden="if_missing" type="Secondary_Report" file="netgen/map/spiloop_map.nlf" label="Post-Map Simulation Model Report" />
<view inputState="Mapped" program="map" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="spiloop_map.psr" label="Physical Synthesis Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
</view>
<view inputState="Mapped" program="par" contextTags="FPGA_ONLY" hidden="true" type="Pad_Report" file="spiloop_pad.txt" label="Pad Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
</view>
<view inputState="Mapped" program="par" contextTags="FPGA_ONLY" hidden="true" type="Secondary_Report" file="spiloop.unroutes" label="Unroutes Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
</view>
<view inputState="Mapped" program="map" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="spiloop_preroute.tsi" label="Post-Map Constraints Interaction Report" >
<toc-item title="Top of Report" target="Release" searchDir="Forward" />
</view>
<view inputState="Mapped" program="par" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="spiloop.grf" label="Guide Results Report" />
<view inputState="Routed" program="par" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="spiloop.dly" label="Asynchronous Delay Report" />
<view inputState="Routed" program="par" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="spiloop.clk_rgn" label="Clock Region Report" />
<view inputState="Routed" program="par" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="spiloop.tsi" label="Post-Place and Route Constraints Interaction Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
</view>
<view inputState="Routed" program="netgen" hidden="if_missing" type="Secondary_Report" file="spiloop_par_fecn.nlf" label="Post-Place and Route Formality Netlist Report" />
<view inputState="Routed" program="netgen" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="netgen/par/spiloop_timesim.nlf" label="Post-Place and Route Simulation Model Report" />
<view inputState="Routed" program="netgen" hidden="if_missing" type="Secondary_Report" file="spiloop_sta.nlf" label="Primetime Netlist Report" >
<toc-item title="Top of Report" target="Release" searchDir="Forward" />
</view>
<view inputState="Routed" program="ibiswriter" hidden="if_missing" type="Secondary_Report" file="spiloop.ibs" label="IBIS Model" >
<toc-item title="Top of Report" target="IBIS Models for" searchDir="Forward" />
<toc-item title="Component" target="Component " />
</view>
<view inputState="Routed" program="pin2ucf" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="spiloop.lck" label="Back-annotate Pin Report" >
<toc-item title="Top of Report" target="pin2ucf Report File" searchDir="Forward" />
<toc-item title="Constraint Conflicts Information" target="Constraint Conflicts Information" />
</view>
<view inputState="Routed" program="pin2ucf" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="spiloop.lpc" label="Locked Pin Constraints" >
<toc-item title="Top of Report" target="top.lpc" searchDir="Forward" />
<toc-item title="Newly Added Constraints" target="The following constraints were newly added" />
</view>
<view inputState="Translated" program="netgen" contextTags="CPLD_ONLY,EDK_OFF" hidden="if_missing" type="Secondary_Report" file="netgen/fit/spiloop_timesim.nlf" label="Post-Fit Simulation Model Report" />
<view inputState="Routed" program="bitgen" contextTags="FPGA_ONLY" hidden="if_missing" type="HTML" file="usage_statistics_webtalk.html" label="WebTalk Report" />
<view inputState="Routed" program="bitgen" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="webtalk.log" label="WebTalk Log File" />
</viewgroup>
</body>
</report-views>
<?xml version='1.0' encoding='UTF-8'?>
<report-views version="2.0" >
<header>
<DateModified>2012-03-07T16:13:02</DateModified>
<ModuleName>spiwrap</ModuleName>
<SummaryTimeStamp>2012-03-07T14:29:36</SummaryTimeStamp>
<DateModified>2012-03-07T18:05:09</DateModified>
<ModuleName>spiloop</ModuleName>
<SummaryTimeStamp>2012-03-07T17:56:22</SummaryTimeStamp>
<SavedFilePath>C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/iseconfig/spiwrap.xreport</SavedFilePath>
<ImplementationReportsDirectory>C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav\</ImplementationReportsDirectory>
<DateInitialized>2012-03-06T15:48:54</DateInitialized>
<DateInitialized>2012-03-07T18:05:09</DateInitialized>
<EnableMessageFiltering>false</EnableMessageFiltering>
</header>
<body>
......
......@@ -5,28 +5,28 @@
<TR><TD><xtag-par-property-name>Total Non-vccgnd Design Pins</xtag-par-property-name>=<xtag-par-property-value>141</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Conns</xtag-par-property-name>=<xtag-par-property-value>141</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Timing Constrained Conns</xtag-par-property-name>=<xtag-par-property-value>128</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 1 CPU</xtag-par-property-name>=<xtag-par-property-value>5.8 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 2 CPU</xtag-par-property-name>=<xtag-par-property-value>6.7 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 3 CPU</xtag-par-property-name>=<xtag-par-property-value>7.0 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 4 CPU</xtag-par-property-name>=<xtag-par-property-value>8.6 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 5 CPU</xtag-par-property-name>=<xtag-par-property-value>8.7 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 6 CPU</xtag-par-property-name>=<xtag-par-property-value>8.7 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 7 CPU</xtag-par-property-name>=<xtag-par-property-value>8.7 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 8 CPU</xtag-par-property-name>=<xtag-par-property-value>8.7 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 9 CPU</xtag-par-property-name>=<xtag-par-property-value>8.7 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 10 CPU</xtag-par-property-name>=<xtag-par-property-value>8.7 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 1</xtag-par-property-name>=<xtag-par-property-value>11.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 1 CPU</xtag-par-property-name>=<xtag-par-property-value>5.1 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 2 CPU</xtag-par-property-name>=<xtag-par-property-value>5.6 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 3 CPU</xtag-par-property-name>=<xtag-par-property-value>5.8 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 4 CPU</xtag-par-property-name>=<xtag-par-property-value>7.4 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 5 CPU</xtag-par-property-name>=<xtag-par-property-value>7.5 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 6 CPU</xtag-par-property-name>=<xtag-par-property-value>7.5 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 7 CPU</xtag-par-property-name>=<xtag-par-property-value>7.5 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 8 CPU</xtag-par-property-name>=<xtag-par-property-value>7.5 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 9 CPU</xtag-par-property-name>=<xtag-par-property-value>7.5 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 10 CPU</xtag-par-property-name>=<xtag-par-property-value>7.5 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 1</xtag-par-property-name>=<xtag-par-property-value>18.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 2</xtag-par-property-name>=<xtag-par-property-value>11.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 3</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 4</xtag-par-property-name>=<xtag-par-property-value>3.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 10</xtag-par-property-name>=<xtag-par-property-value>19.6</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 50</xtag-par-property-name>=<xtag-par-property-value>1.7</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 4</xtag-par-property-name>=<xtag-par-property-value>3.3</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 10</xtag-par-property-name>=<xtag-par-property-value>14.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 50</xtag-par-property-name>=<xtag-par-property-value>2.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 100</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 500</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 5000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 20000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 50000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 100000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>IRR Gamma</xtag-par-property-name>=<xtag-par-property-value>1.0036</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>IRR Gamma</xtag-par-property-name>=<xtag-par-property-value>1.0025</xtag-par-property-value></TD></TR>
</xtag-section>
</TABLE>
......@@ -23,14 +23,10 @@
<files xmlns="http://www.xilinx.com/XMLSchema">
<file xil_pn:fileType="FILE_NCD" xil_pn:name="spiifc_guide.ncd" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_NCD" xil_pn:name="spiloop_guide.ncd" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_NCD" xil_pn:name="spiwrap_guide.ncd" xil_pn:origination="imported"/>
</files>
<transforms xmlns="http://www.xilinx.com/XMLSchema">
<transform xil_pn:end_ts="1331148521" xil_pn:in_ck="-8467753332869629521" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1331148521">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
</transforms>
<transforms xmlns="http://www.xilinx.com/XMLSchema"/>
</generated_project>
......@@ -110,7 +110,7 @@
</file>
<file xil_pn:name="ipcore_dir/buffermem.xco" xil_pn:type="FILE_COREGEN">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="2"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../../../../../src/spi_base/spiifc.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="1"/>
......@@ -118,7 +118,7 @@
</file>
<file xil_pn:name="../../../../../../src/spi_base/spiwrap.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="3"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../../../../../test/spi_base/spiifc_tb.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
......@@ -133,9 +133,23 @@
<file xil_pn:name="../../../../../ucf/atlys/spiwrap.ucf" xil_pn:type="FILE_UCF">
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="ipcore_dir/spiloopmem.xco" xil_pn:type="FILE_COREGEN">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="35"/>
<association xil_pn:name="Implementation" xil_pn:seqID="2"/>
</file>
<file xil_pn:name="../../../../../../src/spi_base/spiloop.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="42"/>
<association xil_pn:name="Implementation" xil_pn:seqID="3"/>
</file>
<file xil_pn:name="../../../../../ucf/atlys/spiloop.ucf" xil_pn:type="FILE_UCF">
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="ipcore_dir/buffermem.xise" xil_pn:type="FILE_COREGENISE">
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="ipcore_dir/spiloopmem.xise" xil_pn:type="FILE_COREGENISE">
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
</files>
<properties>
......@@ -247,9 +261,9 @@
<property xil_pn:name="ISim UUT Instance Name" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Ignore User Timing Constraints Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Ignore User Timing Constraints Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Implementation Top" xil_pn:value="Module|spiwrap" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top File" xil_pn:value="../../../../../../src/spi_base/spiwrap.v" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/spiwrap" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top" xil_pn:value="Module|spiloop" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top File" xil_pn:value="../../../../../../src/spi_base/spiloop.v" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/spiloop" xil_pn:valueState="non-default"/>
<property xil_pn:name="Include 'uselib Directive in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include SIMPRIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include UNISIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
......@@ -313,7 +327,7 @@
<property xil_pn:name="Other XPWR Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other XST Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Output Extended Identifiers" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Output File Name" xil_pn:value="spiwrap" xil_pn:valueState="default"/>
<property xil_pn:name="Output File Name" xil_pn:value="spiloop" xil_pn:valueState="default"/>
<property xil_pn:name="Overwrite Compiled Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Pack I/O Registers into IOBs" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Pack I/O Registers/Latches into IOBs" xil_pn:value="Off" xil_pn:valueState="default"/>
......@@ -327,10 +341,10 @@
<property xil_pn:name="Placer Effort Level Map" xil_pn:value="High" xil_pn:valueState="default"/>
<property xil_pn:name="Placer Extra Effort Map" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Port to be used" xil_pn:value="Auto - default" xil_pn:valueState="default"/>
<property xil_pn:name="Post Map Simulation Model Name" xil_pn:value="spiwrap_map.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Place &amp; Route Simulation Model Name" xil_pn:value="spiwrap_timesim.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Synthesis Simulation Model Name" xil_pn:value="spiwrap_synthesis.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Translate Simulation Model Name" xil_pn:value="spiwrap_translate.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Map Simulation Model Name" xil_pn:value="spiloop_map.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Place &amp; Route Simulation Model Name" xil_pn:value="spiloop_timesim.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Synthesis Simulation Model Name" xil_pn:value="spiloop_synthesis.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Translate Simulation Model Name" xil_pn:value="spiloop_translate.v" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Map spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Xst" xil_pn:value="false" xil_pn:valueState="default"/>
......@@ -461,6 +475,7 @@
<bindings>
<binding xil_pn:location="/spiwrap" xil_pn:name="../../../../../ucf/atlys/spiwrap.ucf"/>
<binding xil_pn:location="/spiloop" xil_pn:name="../../../../../ucf/atlys/spiloop.ucf"/>
</bindings>
<libraries>
......
......@@ -16,51 +16,51 @@
<tr>
<td>PATHEXT</td>
<td>.COM;<br>.EXE;<br>.BAT;<br>.CMD;<br>.VBS;<br>.VBE;<br>.JS;<br>.JSE;<br>.WSF;<br>.WSH;<br>.MSC</td>
<td>.COM;<br>.EXE;<br>.BAT;<br>.CMD;<br>.VBS;<br>.VBE;<br>.JS;<br>.JSE;<br>.WSF;<br>.WSH;<br>.MSC</td>
<td>.COM;<br>.EXE;<br>.BAT;<br>.CMD;<br>.VBS;<br>.VBE;<br>.JS;<br>.JSE;<br>.WSF;<br>.WSH;<br>.MSC</td>
<td>.COM;<br>.EXE;<br>.BAT;<br>.CMD;<br>.VBS;<br>.VBE;<br>.JS;<br>.JSE;<br>.WSF;<br>.WSH;<br>.MSC</td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
</tr>
<tr>
<td>Path</td>
<td>C:\Xilinx\13.2\ISE_DS\ISE\\lib\nt64;<br>C:\Xilinx\13.2\ISE_DS\ISE\\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\PlanAhead\bin;<br>C:\Xilinx\13.2\ISE_DS\ISE\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\ISE\lib\nt64;<br>C:\Xilinx\13.2\ISE_DS\EDK\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\EDK\lib\nt64;<br>C:\Xilinx\13.2\ISE_DS\EDK\gnu\microblaze\nt64\bin;<br>C:\Xilinx\13.2\ISE_DS\EDK\gnu\powerpc-eabi\nt64\bin;<br>C:\Xilinx\13.2\ISE_DS\EDK\gnuwin\bin;<br>C:\Xilinx\13.2\ISE_DS\common\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\common\lib\nt64;<br>C:\Program Files (x86)\Atmel\AVR Tools\AVR Toolchain\bin;<br>C:\Windows\system32;<br>C:\Windows;<br>C:\Windows\System32\Wbem;<br>C:\Windows\System32\WindowsPowerShell\v1.0\;<br>C:\Program Files (x86)\Java\jdk1.6.0_26\bin;<br>c:\python27;<br>C:\Python27\Scripts;<br>C:\Program Files\SlikSvn\bin\;<br>C:\Program Files (x86)\GnuWin32\bin;<br>C:\Program Files\Vim\vim73;<br>c:\Program Files (x86)\Microsoft SQL Server\100\Tools\Binn\;<br>c:\Program Files\Microsoft SQL Server\100\Tools\Binn\;<br>c:\Program Files\Microsoft SQL Server\100\DTS\Binn\;<br>c:\Program Files\TortoiseSVN\bin</td>
<td>C:\Xilinx\13.2\ISE_DS\ISE\\lib\nt64;<br>C:\Xilinx\13.2\ISE_DS\ISE\\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\PlanAhead\bin;<br>C:\Xilinx\13.2\ISE_DS\ISE\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\ISE\lib\nt64;<br>C:\Xilinx\13.2\ISE_DS\EDK\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\EDK\lib\nt64;<br>C:\Xilinx\13.2\ISE_DS\EDK\gnu\microblaze\nt64\bin;<br>C:\Xilinx\13.2\ISE_DS\EDK\gnu\powerpc-eabi\nt64\bin;<br>C:\Xilinx\13.2\ISE_DS\EDK\gnuwin\bin;<br>C:\Xilinx\13.2\ISE_DS\common\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\common\lib\nt64;<br>C:\Program Files (x86)\Atmel\AVR Tools\AVR Toolchain\bin;<br>C:\Windows\system32;<br>C:\Windows;<br>C:\Windows\System32\Wbem;<br>C:\Windows\System32\WindowsPowerShell\v1.0\;<br>C:\Program Files (x86)\Java\jdk1.6.0_26\bin;<br>c:\python27;<br>C:\Python27\Scripts;<br>C:\Program Files\SlikSvn\bin\;<br>C:\Program Files (x86)\GnuWin32\bin;<br>C:\Program Files\Vim\vim73;<br>c:\Program Files (x86)\Microsoft SQL Server\100\Tools\Binn\;<br>c:\Program Files\Microsoft SQL Server\100\Tools\Binn\;<br>c:\Program Files\Microsoft SQL Server\100\DTS\Binn\;<br>c:\Program Files\TortoiseSVN\bin</td>
<td>C:\Xilinx\13.2\ISE_DS\ISE\\lib\nt64;<br>C:\Xilinx\13.2\ISE_DS\ISE\\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\PlanAhead\bin;<br>C:\Xilinx\13.2\ISE_DS\ISE\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\ISE\lib\nt64;<br>C:\Xilinx\13.2\ISE_DS\EDK\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\EDK\lib\nt64;<br>C:\Xilinx\13.2\ISE_DS\EDK\gnu\microblaze\nt64\bin;<br>C:\Xilinx\13.2\ISE_DS\EDK\gnu\powerpc-eabi\nt64\bin;<br>C:\Xilinx\13.2\ISE_DS\EDK\gnuwin\bin;<br>C:\Xilinx\13.2\ISE_DS\common\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\common\lib\nt64;<br>C:\Program Files (x86)\Atmel\AVR Tools\AVR Toolchain\bin;<br>C:\Windows\system32;<br>C:\Windows;<br>C:\Windows\System32\Wbem;<br>C:\Windows\System32\WindowsPowerShell\v1.0\;<br>C:\Program Files (x86)\Java\jdk1.6.0_26\bin;<br>c:\python27;<br>C:\Python27\Scripts;<br>C:\Program Files\SlikSvn\bin\;<br>C:\Program Files (x86)\GnuWin32\bin;<br>C:\Program Files\Vim\vim73;<br>c:\Program Files (x86)\Microsoft SQL Server\100\Tools\Binn\;<br>c:\Program Files\Microsoft SQL Server\100\Tools\Binn\;<br>c:\Program Files\Microsoft SQL Server\100\DTS\Binn\;<br>c:\Program Files\TortoiseSVN\bin</td>
<td>C:\Xilinx\13.2\ISE_DS\ISE\\lib\nt64;<br>C:\Xilinx\13.2\ISE_DS\ISE\\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\PlanAhead\bin;<br>C:\Xilinx\13.2\ISE_DS\ISE\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\ISE\lib\nt64;<br>C:\Xilinx\13.2\ISE_DS\EDK\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\EDK\lib\nt64;<br>C:\Xilinx\13.2\ISE_DS\EDK\gnu\microblaze\nt64\bin;<br>C:\Xilinx\13.2\ISE_DS\EDK\gnu\powerpc-eabi\nt64\bin;<br>C:\Xilinx\13.2\ISE_DS\EDK\gnuwin\bin;<br>C:\Xilinx\13.2\ISE_DS\common\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\common\lib\nt64;<br>C:\Program Files (x86)\Atmel\AVR Tools\AVR Toolchain\bin;<br>C:\Windows\system32;<br>C:\Windows;<br>C:\Windows\System32\Wbem;<br>C:\Windows\System32\WindowsPowerShell\v1.0\;<br>C:\Program Files (x86)\Java\jdk1.6.0_26\bin;<br>c:\python27;<br>C:\Python27\Scripts;<br>C:\Program Files\SlikSvn\bin\;<br>C:\Program Files (x86)\GnuWin32\bin;<br>C:\Program Files\Vim\vim73;<br>c:\Program Files (x86)\Microsoft SQL Server\100\Tools\Binn\;<br>c:\Program Files\Microsoft SQL Server\100\Tools\Binn\;<br>c:\Program Files\Microsoft SQL Server\100\DTS\Binn\;<br>c:\Program Files\TortoiseSVN\bin</td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
</tr>
<tr>
<td>XILINX</td>
<td>C:\Xilinx\13.2\ISE_DS\ISE\</td>
<td>C:\Xilinx\13.2\ISE_DS\ISE\</td>
<td>C:\Xilinx\13.2\ISE_DS\ISE\</td>
<td>C:\Xilinx\13.2\ISE_DS\ISE\</td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
</tr>
<tr>
<td>XILINX_DSP</td>
<td>C:\Xilinx\13.2\ISE_DS\ISE</td>
<td>C:\Xilinx\13.2\ISE_DS\ISE</td>
<td>C:\Xilinx\13.2\ISE_DS\ISE</td>
<td>C:\Xilinx\13.2\ISE_DS\ISE</td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
</tr>
<tr>
<td>XILINX_EDK</td>
<td>C:\Xilinx\13.2\ISE_DS\EDK</td>
<td>C:\Xilinx\13.2\ISE_DS\EDK</td>
<td>C:\Xilinx\13.2\ISE_DS\EDK</td>
<td>C:\Xilinx\13.2\ISE_DS\EDK</td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
</tr>
<tr>
<td>XILINX_FOR_ALTIUM_OVERRIDE</td>
<td> </td>
<td> </td>
<td> </td>
<td> </td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
</tr>
<tr>
<td>XILINX_PLANAHEAD</td>
<td>C:\Xilinx\13.2\ISE_DS\PlanAhead</td>
<td>C:\Xilinx\13.2\ISE_DS\PlanAhead</td>
<td>C:\Xilinx\13.2\ISE_DS\PlanAhead</td>
<td>C:\Xilinx\13.2\ISE_DS\PlanAhead</td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
</tr>
</TABLE>
<A NAME="Synthesis Property Settings"></A>
......@@ -77,7 +77,7 @@
<tr>
<td>-ifn</td>
<td>&nbsp;</td>
<td>spiwrap.prj</td>
<td>spiloop.prj</td>
<td>&nbsp;</td>
</tr>
<tr>
......@@ -89,7 +89,7 @@
<tr>
<td>-ofn</td>
<td>&nbsp;</td>
<td>spiwrap</td>
<td>spiloop</td>
<td>&nbsp;</td>
</tr>
<tr>
......@@ -107,7 +107,7 @@
<tr>
<td>-top</td>
<td>&nbsp;</td>
<td>spiwrap</td>
<td>spiloop</td>
<td>&nbsp;</td>
</tr>
<tr>
......@@ -363,162 +363,6 @@
<td>0</td>
</tr>
</TABLE>
<A NAME="Translation Property Settings"></A>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='4'><B>Translation Property Settings </B></TD>
</tr>
<tr bgcolor='#ffff99'>
<td><b>Switch Name</b></td>
<td><b>Property Name</b></td>
<td><b>Value</b></td>
<td><b>Default Value</b></td>
</tr>
<tr>
<td>-intstyle</td>
<td>&nbsp;</td>
<td>ise</td>
<td>None</td>
</tr>
<tr>
<td>-dd</td>
<td>&nbsp;</td>
<td>_ngo</td>
<td>None</td>
</tr>
<tr>
<td>-p</td>
<td>&nbsp;</td>
<td>xc6slx45-csg324-2</td>
<td>None</td>
</tr>
<tr>
<td>-sd</td>
<td>Macro Search Path</td>
<td>ipcore_dir</td>
<td>None</td>
</tr>
<tr>
<td>-uc</td>
<td>&nbsp;</td>
<td>C:/Users/mjlyons/workspace/vSPI/projnav/ucf/atlys/spiwrap.ucf</td>
<td>None</td>
</tr>
</TABLE>
<A NAME="Map Property Settings"></A>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='4'><B>Map Property Settings </B></TD>
</tr>
<tr bgcolor='#ffff99'>
<td><b>Switch Name</b></td>
<td><b>Property Name</b></td>
<td><b>Value</b></td>
<td><b>Default Value</b></td>
</tr>
<tr>
<td>-ol</td>
<td>Place & Route Effort Level (Overall)</td>
<td>high</td>
<td>high</td>
</tr>
<tr>
<td>-xt</td>
<td>Extra Cost Tables</td>
<td>0</td>
<td>0</td>
</tr>
<tr>
<td>-ir</td>
<td>Use RLOC Constraints</td>
<td>OFF</td>
<td>OFF</td>
</tr>
<tr>
<td>-t</td>
<td>Starting Placer Cost Table (1-100) Map</td>
<td>1</td>
<td>0</td>
</tr>
<tr>
<td>-r</td>
<td>Register Ordering</td>
<td>4</td>
<td>4</td>
</tr>
<tr>
<td>-intstyle</td>
<td>&nbsp;</td>
<td>ise</td>
<td>None</td>
</tr>
<tr>
<td>-lc</td>
<td>LUT Combining</td>
<td>off</td>
<td>off</td>
</tr>
<tr>
<td>-o</td>
<td>&nbsp;</td>
<td>spiwrap_map.ncd</td>
<td>None</td>
</tr>
<tr>
<td>-w</td>
<td>&nbsp;</td>
<td>true</td>
<td>false</td>
</tr>
<tr>
<td>-pr</td>
<td>Pack I/O Registers/Latches into IOBs</td>
<td>off</td>
<td>off</td>
</tr>
<tr>
<td>-p</td>
<td>&nbsp;</td>
<td>xc6slx45-csg324-2</td>
<td>None</td>
</tr>
</TABLE>
<A NAME="Place and Route Property Settings"></A>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='4'><B>Place and Route Property Settings </B></TD>
</tr>
<tr bgcolor='#ffff99'>
<td><b>Switch Name</b></td>
<td><b>Property Name</b></td>
<td><b>Value</b></td>
<td><b>Default Value</b></td>
</tr>
<tr>
<td>-intstyle</td>
<td>&nbsp;</td>
<td>ise</td>
<td>&nbsp;</td>
</tr>
<tr>
<td>-mt</td>
<td>Enable Multi-Threading</td>
<td>off</td>
<td>off</td>
</tr>
<tr>
<td>-ol</td>
<td>Place & Route Effort Level (Overall)</td>
<td>high</td>
<td>std</td>
</tr>
<tr>
<td>-w</td>
<td>&nbsp;</td>
<td>true</td>
<td>false</td>
</tr>
</TABLE>
<A NAME="Operating System Information"></A>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
......@@ -534,30 +378,30 @@
<tr>
<td>CPU Architecture/Speed</td>
<td>Intel(R) Core(TM) i5-2400S CPU @ 2.50GHz/2499 MHz</td>
<td>Intel(R) Core(TM) i5-2400S CPU @ 2.50GHz/2499 MHz</td>
<td>Intel(R) Core(TM) i5-2400S CPU @ 2.50GHz/2499 MHz</td>
<td>Intel(R) Core(TM) i5-2400S CPU @ 2.50GHz/2499 MHz</td>
<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
</tr>
<tr>
<td>Host</td>
<td>WIN-MEQROG0RPAS</td>
<td>WIN-MEQROG0RPAS</td>
<td>WIN-MEQROG0RPAS</td>
<td>WIN-MEQROG0RPAS</td>
<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
</tr>
<tr>
<td>OS Name</td>
<td>Microsoft Windows 7 , 64-bit</td>
<td>Microsoft Windows 7 , 64-bit</td>
<td>Microsoft Windows 7 , 64-bit</td>
<td>Microsoft Windows 7 , 64-bit</td>
<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
</tr>
<tr>
<td>OS Release</td>
<td>Service Pack 1 (build 7601)</td>
<td>Service Pack 1 (build 7601)</td>
<td>Service Pack 1 (build 7601)</td>
<td>Service Pack 1 (build 7601)</td>
<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
</tr>
</TABLE>
</BODY> </HTML>
\ No newline at end of file
......@@ -7,10 +7,11 @@
<TD BGCOLOR='#FFFF99'><B>Project File:</B></TD>
<TD>spiifc.xise</TD>
<TD BGCOLOR='#FFFF99'><b>Parser Errors:</b></TD>
<TD> No Errors </TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Module Name:</B></TD>
<TD>spiwrap</TD>
<TD>spiloop</TD>
<TD BGCOLOR='#FFFF99'><B>Implementation State:</B></TD>
<TD>New</TD>
</TR>
......@@ -40,7 +41,10 @@
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Environment:</B></dif></TD>
<TD>&nbsp;</TD>
<TD>
<A HREF_DISABLED='C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav\spiifc_envsettings.html'>
System Settings</A>
</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Final Timing Score:</B></LI></UL></TD>
<TD>&nbsp;&nbsp;</TD>
</TR>
......@@ -71,8 +75,10 @@
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='3'><B>Secondary Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=SecondaryReports"><B>[-]</B></a></TD></TR>
<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD COLSPAN='2'><B>Generated</B></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav\usage_statistics_webtalk.html'>WebTalk Report</A></TD><TD>Out of Date</TD><TD COLSPAN='2'>Wed Mar 7 17:56:17 2012</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav\webtalk.log'>WebTalk Log File</A></TD><TD>Out of Date</TD><TD COLSPAN='2'>Wed Mar 7 17:56:22 2012</TD></TR>
</TABLE>
<br><center><b>Date Generated:</b> 03/07/2012 - 16:13:02</center>
<br><center><b>Date Generated:</b> 03/07/2012 - 18:05:09</center>
</BODY></HTML>
\ No newline at end of file
INTSTYLE=ise
INFILE=C:\Users\mjlyons\workspace\vSPI\projnav\xps\pcores\spiifc_v1_00_a\devl\projnav\spiloop.ncd
OUTFILE=C:\Users\mjlyons\workspace\vSPI\projnav\xps\pcores\spiifc_v1_00_a\devl\projnav\spiloop.bit
FAMILY=Spartan6
PART=xc6slx45-2csg324
WORKINGDIR=C:\Users\mjlyons\workspace\vSPI\projnav\xps\pcores\spiifc_v1_00_a\devl\projnav
LICENSE=ISE
USER_INFO=179841373_174164856_206270303_042
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6
###6200:XlxV32DM 3fff 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###4472:XlxV32DM 3fff 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###2788:XlxV32DM 3fff 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###2520:XlxV32DM 3fff 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###920:XlxV32DM bb8 380eNqllkuO2zAMhi/TA4giaT28GbSbWXbQ9gCyYy8DZNHZGL57KTuWFMSvTibAGPn0m6L4U1LIUcfEPVvUqNnJf6cUtdSTIUdIcbwnjYy4yhGtcJQRZkvtQ6Q4IoSA4VFTxOmZmRCQRPGci32Ys9SuZcInYjyqDVUE1CFsvlkqnqPM1Vpfvdtc82Pt2jnSbhX349w9i5WOeY7jFdl65Wm4uouHpv7rWq/rP67xrR2wwnC61EZoRy2CTKNWi+SSgifFc3o0lXq/RfSJFjH/0SJmIxN4wa55HXEMd+LYE3Eg2c53u1y2y33ZrtmixS44tAs2dro5tAsP7dpql+281wr1yu6a1xHHaDoxvm6XS7YvdoVklzalXeHZLrXTpesGPB+GRzFEiDoeKJslnxVbhzivFjrNylY+UsYTe/SVfcGp0ITVXj4Hcab63XPGdCA2HrweolcweUXVINE2vOKK4nbvWSKz1G3jCqEXspzfng/t6p5jK22FU1thV7RVJW2FkuqhQQf2uNNt5TYa071kiytsmZfMJCuGeSMt19R4tSpRUokGnbUh0SZr9SXTLtMu0a5f0wLlJLigbaKYKWOiOTMIWQs24yYHhlDgQt0mrMEkbDLVkGgoqEu0iIBZmxetbTFdzlm7KmNd4Fw5oIxDoa4SRpXLAV2Bc3o6Tyl3Tsa6wE3Gacrx87eZ5PJRwy/5PWPZ2+BBjjDdo0dpK1W/G65vxtYNOPbT6kX8bkz9zWiPQThdJq4Sr7y2y/AY/97efgwYgudLsCF0vYDvAw3O3GdH29Q35+pxcLigakFmnjRGs76XfDBDK9DJFe5744Gj2ehlo0j3SktJASaxzPZz+JD7RNUfUgeob6DilxvA9A2D8TY+goSXRyMPeecfqHDqeA==
\ No newline at end of file
<HTML><HEAD><TITLE>Xilinx Design Summary</TITLE></HEAD>
<BODY TEXT='#000000' BGCOLOR='#FFFFFF' LINK='#0000EE' VLINK='#551A8B' ALINK='#FF0000'>
<TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='4'><B>spiloop Project Status</B></TD></TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Project File:</B></TD>
<TD>spiifc.xise</TD>
<TD BGCOLOR='#FFFF99'><b>Parser Errors:</b></TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Module Name:</B></TD>
<TD>spiloop</TD>
<TD BGCOLOR='#FFFF99'><B>Implementation State:</B></TD>
<TD>New</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Target Device:</B></TD>
<TD>xc6slx45-2csg324</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Errors:</B></LI></UL></TD>
<TD>&nbsp;</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Product Version:</B></TD><TD>ISE 13.2</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Warnings:</B></LI></UL></TD>
<TD>&nbsp;</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Design Goal:</B></dif></TD>
<TD>Balanced</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Routing Results:</B></LI></UL></TD>
<TD>
&nbsp;</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Design Strategy:</B></dif></TD>
<TD><A HREF_DISABLED='Xilinx Default (unlocked)?&DataKey=Strategy'>Xilinx Default (unlocked)</A></TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Timing Constraints:</B></LI></UL></TD>
<TD>&nbsp;</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Environment:</B></dif></TD>
<TD>&nbsp;</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Final Timing Score:</B></LI></UL></TD>
<TD>&nbsp;&nbsp;</TD>
</TR>
</TABLE>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='6'><B>Detailed Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=DetailedReports"><B>[-]</B></a></TD></TR>
<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD><B>Generated</B></TD>
<TD ALIGN=LEFT><B>Errors</B></TD><TD ALIGN=LEFT><B>Warnings</B></TD><TD ALIGN=LEFT COLSPAN='2'><B>Infos</B></TD></TR>
<TR ALIGN=LEFT><TD>Synthesis Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD>Translation Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD>Map Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD>Place and Route Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD>Power Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD>Post-PAR Static Timing Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD>Bitgen Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
</TABLE>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='3'><B>Secondary Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=SecondaryReports"><B>[-]</B></a></TD></TR>
<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD COLSPAN='2'><B>Generated</B></TD></TR>
</TABLE>
<br><center><b>Date Generated:</b> 03/07/2012 - 19:13:42</center>
</BODY></HTML>
\ No newline at end of file
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6
###6024:XlxV32DM 3fff 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###4772:XlxV32DM 3fff 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###2372:XlxV32DM 3fff 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###2832:XlxV32DM 3fff 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###1596:XlxV32DM 1914 624eNqtWMGSpDYM/Zl8gG1JYNOXreSyx2zt5gNoGo5TNYfkQvW/RzZgGYMbmN6Z6h6Qn55kW0+YwQFrdAj86WlAAwhOKb7vkMhiRwNZMGDI8Xc2wlf73n4MgJQiwyNsDywANbNAasUBdH7PWBX46jji1v44kPZYic/jnAMhZ8ZXqd860ot5hZxJF2c4EBEyVhWzQjjI6kreK+ZlLqv15tXWfo1PxJW16AkzbsIONFJYne1+ThFSvyr6ERj/iRwmcMxYXnODGh+zFyZeGL08gwnXaQRVwOIGa1bZHGHNXH1AcApbr7Hz9T5Whzo+j6ZLaHcBbfI5HqCXWZpTaLeqklQTE0fwez4/wN0b1eD40T4auP3bdo25/dPeG443+glBe5rcb2/FpRQKsphUitiWMYF9o6lN3n4MgxzSpmaCkFRq5VYD+X3a1FCaU5gjR865XjSKkj9HUYcNDk80ODiV4Yum87X8V+ylhgehxbyOvW12kZeLtA6FDrtVAmkDC37Wyy8RCEQOMzfmNTaVHiSi2MPWO1gsYNft5RhNl9DuAtrszrGMrpPm/AqN0owEHdszXGhGEDnCdWhGHTcjCM3IPJJupPvWdyPD3SjVNK/IRtOOvwmrXSWvxl/Ud86bK3jhCPoK90mF8zeiZqye69UzoH/0stXND/rjyF/j3XhlB4wvxM0YfP824fgz7+TbEa8yrvrGvCN5ddWnIp9l2na57ZhUvfDv4fu54lWmFO2VEscpjPfYF7FVHJ+wy9FuT4FVcnwMaiUTsDkvLNgwTtkc5NUBk2fTI/NN7EVfP8a7M2v+ETUPKtV8FzSvM81PR8nfr/mc9zdq/lTU65xFnXwtXuJ9WpGnIl1hO6NIdSrqWaaStlXhhFIVtB30yieOPb3iou0w/lrbuKPtfj7JlPQ6jYf+WNBstXqpvqbZydePYdRsL5rtE81aPbLE28Lhlg/nZPmXiQ4Ot273GGF3lt0dvnTYw5cOHRpzMeeDl47gPc8LfHsLCzQ0urGjXxk9dbOH890Ms/epZQfu/gRFKvxThelZLVR4P9KFGjjLAPNTa8NAFaPgwBs3r5tX42P2j4pr8amogfMriG/Fd1/W0eQbdJSjL7FgZNFvsKiZBd7IZdrLiUW/wWKWM8EbuUx1PbG8sy5LrwNfASxlQm51emp1Zm51zw+rohVVtLYmWuPry/PjLlgQ7L0XbButWlfRrLvELGidoE2CtmK2dTSn1iFaK7E6waJYWx2tkrIeZCbaJOZOzAnHIPG0BDQEEhATsxUziLmSJUUJaR4JtyRoeplMn1gFLEtqBhetsqKAybZIPCCSeH1ibgUtc4EkaROze/73y4bt4l81/qS6cVXj+MnAz2wzQGMG3rfbd/582vZ21/7RwXCmGr9bd/vDYgPebiHYVbTbxthl+HnXYLfjbRz3P9++/TUC7yUNunNdq9jw50hjW8/ZQTfcPlt3e44tRJOeTXYyGX7wP5qB8yUx1mzsm44TMD3XKc+p6jm5iud2XyO9+8CL4yYzZ/D3+IMXU91+3BvFsbTyN59ahzvoO67kT5/04P9o/sM+/wPjh/0D
\ No newline at end of file
###5184:XlxV32DM 3ffe 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###3620:XlxV32DM 3fff e0ceNq1Wm1z2roS/jP5kISZxCvJ8tu5mTiFtMwkIRdIe9pOx2OwyTBNIBdoTzpx+O1H8hsSSFBS3bbB0vM8uyutpLVN8/ir9zRuj4an0cSyLQeABo8yFD3Fw++RDVgmEETjyU8gShQGKrjwBATsFZukgx/30Sy9/8s6U+OgwZEGxxqcaHBbg1MN7pyJk67xyFLDoIaRGsZqmKhhWw1TNeyIazsbXowX7UmSPvMEJxqiXDFId/A7HOAdPNWMDOlGhurI23m0g7d38A54Kr6bb1dk6blyeAh2SkAfAraEqFKHdkuIPgLaEqHO8W6JDa5Gwk6FlgI9hdBQRX1F36L3N80IR9No/mMQgRN17vp8KfaRw35yuc4w+a9FWqQXhmq8WtmttKf2urHla7z0aqntsMYOr7ai0o5oZkG2D9PWmNmag7lOWzt4tIPH28PT7bSjnhTV5JDuiErrg6Z062hy5WzfKE5dPLfSFDkK+it8i3q37ei602Mfd39HDo2maiVaV9o6JV5XEp2SrCuxTmmvK5FOSdeVoFM660ormoq3xFop35xXMFLDWA0TNWyrYaqGnU34Y/wwTja3VAGDo8ZVu6lkyt00UNLarSiy1nZ6hzXdTjtbR4a2smQra29lPUAi21vEs0WayPfMEoxm88XTdCGuyXx+Gc8X/en9/UMq3s9EnK0vjLRUlbxdCle8D68ryoGJGRYlZZakELez9Kf43FUgiqo/n/cepv+UUxyq8WqRttJ4O21vp9m7iUAv4kXKXxm8Tay+hbg6DuspdzMKu9G7m1g1Yy1F9BTVU1IdyKniaVHKzQpWHcxNGiudgtpp/fxCxFe+imYPdCoU0PqMeBWuG48/niNqVQ9rvyuV3/wWz+xBLUySGc9GosZVhWiTR9tpoo4qp0vEFY88ChptN8fqqEgz1/p5EGNLwfO7utTJ0wrlCuxtAvubIOk1uVbJr8krGNSwdL4Wz9fpI4fLIzHSUoq3N0kBbBeCnqtPldZefjVb5xSnXJboxw51HdIZI70xqh/adcZYP268mrbOmuhDE9WroKSw9cb2zoxRvTHdmTFHb+zUW0Vn7OqN3Z2RPb2xV60V1hh/BeCnaw3ITxiqzrH3RlP4E1tWDN465D8wRW83xW83JW83td9uSt9u6rzd1H27KdvnjtqU1XsdA7pjs6UE8Xu7zh/SMljLEC1jaxmqZRwt42oZT8PM04UmCZyRnyUkKup9ssQvbGVa8Y2uSiB9AVcL8mWvviKLkyQCr3qmS/aQw756+Ru73fr95Gg/Od5PTvaT2/vJ6X5yZz+5u5/cO8PBdDTCwVM8c4M5+1zEE+oMWXPMTPvPp3fW6fN8EQ0evkeP6WN0n07SWbyYzk7vJ/Fi/DMdPN6fFC1Rc/qTv62fDOeL2eksfnyYTp++Wt9OWPNkdjqn0WQ6nowXvH/a7961oubtyW23fQ0uh/4/4eF3ww94dJ4k/n4xXHVA7sGZIJQ6SOxgsUPEji12qNhxxI4rdrwzJ+8MH74nVSO6uLt8fwtI7p/yT/biJ4Ntfin8JfEiZhMUOiB2kNjBYoeIHVvsULHjnLl553E8nyZ1K+qwEZTEdD5O6lbEh8beKKR+9fKnhIkadmmOzueD8lp4RmKvKqlYBeYZ/mcWP42qRvQYP51M7h/d4HlI5w/PxAbbS4OsGfbD6FO72f8QhT7xhe6FD67f7IRRt/Xet1jromy1bqJur98Nr5nFZXjVawnIRYnw9nWn2fL7zVufcf3Pty2/9/nmHe9EbKN2uu3+Z+bgXUtGLjjyiTVbuT1TFJ3LNpOJxIVIZFn7pt2/jSzLt/7wj196AmOekDFP2JgnYsyTbcwTNebJMePJ2HYytpuMbSZje8nYVjK2k4xtJIP7yDXlyDPlKDTl6MKUo3emHDVNOWqZcnRpyBGYqkdgqh6BqXoEpuoRmKpHYKoegal6BKbqEZiqR2CqHoGpegSm6hGYqkdgqh6BqXoEpuoRMlWPkKl6hEzVI2SqHiFT9QiZqkfIVD1CpuoRMlWPkKl6hEzVI2SqHiFT9QiZqkfIVD1CpuoRNlWPsKl6hE3VI2yqHmFT9QibqkfYVD3CpuoRNlWPsKl6hE3VI2yqHmFT9QibqkfYVD3CpuqRsGgFICTf73U/hleSpEAEDU6CrNltf2yF/X73ovO3z163eletT+yjwz7ueretm6aPe/2w38qyDrveNMNu07/6+O6608P8l3Syq7D/7kPU6UaXlz771+vygZQXK/9KNuLe/ZA3swyNft/k9yxg0wKCbOc4rDWNwg0O2KTt/xweHi5DdLwM4ahxyBqHIRyH+OjoqBHaRxkllYoJjgsp/yTHjG1wXWlEGgy1c+QoB2u1RBVqyQH7I4WpeP5p86E02NC4IYOYG4biHJG9yVShlhzwMFCFyaNU6symNWwLc2QzYArmvJoPMzjmPSGwgBaayiKP5xR+raoBmZPyFi2yLiYUH1dJ4Y4wT1veEWKtwEKxrCVrCcfn1aCLXjmeRkiPMozlAYTovFzForOslqlaNcongolkxZduFbDo8S3EtUc85HE+gAx5ghmfBBvxMl93Wg6PN455zAynsjYk58taVk//vITwSsBD8q2K6pkVUzmuU7YsE8nnj+yVKrcXpMuVNgOn1uFyhiQfbeYMK0ZcwXzOuYwW2S8HzjdZvjdrfG21FIJyypsu86VwN8OzcRXZtGXnfO/neG4sZGi5lqJzIUPUklXVTqMb20wmpH1cHL2SEYXFHIQQuDinPAfFDqoOU25lN4o1zoi3MiGro4fyzVNvqJoJEffQEAZd7eW1FJLjw9oNH8N5ZUJqJzXKTeVhVHmoJlsaLrllvrBLMaxsu9QY/7btUmO8bstvGLlttejlsVjZMg3Sa5YaUZkckm/fpSJaY11Ua7BCs1z3BNUybYTJqlpRji5L6n6+hVd0brAqeiFtFGe+Ln+8iKB6j5DVrY8dhNGm1XrRZnu1UVbkPGnFNMqqLJ8WgRJOS+WgyIsyIjquSoFQU8oyhe0NC+EOuixqV7U3+K3JLnPrKeyK20kGG9ligyzvnSLKa1KGaAkvNytDEa1YszXhUqzPebFYbSBB2BCEclkRx7kUtldWbpqQZOUUmeMMeJqy9tojHrhqHOPX8/OnxEXB+fkzoZZ/6ds+vPTi2IfY8iFBPv8VbjTCPnbAsl8myHN9CNjF82lwgDzqI48Er72YKi2SoAee5RP+giMSAAE32cSpBneChI+PgT7Sj4+NyEl8xJ49DlzMvMTBBLzUJyPbSR0aBwfgJTnMGiNuzhojFo5C8LMPyZB5538TZ2sIlzCL4L+QMhcvr+ya8OsBpLFPnODAG3CayaAIhVyWAIr42EY+innDRTyJMHKroSXBLxiBj1OXN6y8cQXJgE32gGWRmeFgEjvM6vUDJDHjvKE/eDlAMQvCHLJIbnCAfZQMggNa9JziYheXYXGJi8uguHi54wOeE+44TYMvyLVZ0DjxXepziPJxpD4uYqW1jZt7eB3wVWG4j7Hvei//g5QE7MMKmKkdfGGpghcy9F+/sGVAL4hllP+8fkEeD4PYKvCf1zHi//vhf0AuDn5AOvTZc30w/hd7atUb###4532:XlxV32DM 3fff 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###5008:XlxV32DM 3fff 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###4732:XlxV32DM 3fff 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###5844:XlxV32DM 3fff 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###2552:XlxV32DM 3fff 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###2728:XlxV32DM 3fff a90eNq9W0mW5CgMvZIBCezoc/QBMh2OZe16la/u3kzGYEsYgqhaVaT99SUmTbikAlyUVMs0gYIVEGdY8WV/vcDAYp8tsNm/pQIlLWb1v3WJxtkytPIoBZFHkDzS8+gGHtx5cLa/JEoQ8ESLQAC0PIEPPV+OOLH5sc32qWMGJaYp4Oxza6GVMtYKywZosWJQkxVKmmRVkxzUJBz6dkxgEVJNg7pU0/wFXaPjgmZNOLxW2KxLD+qSHTMohtfLntMObaN7XnboUsM70XRou98h8Nf8Bvw1v3E3po4ZHNRl16tZlxqewU+e5rtx6WZdZlCX6DjLy/C4Puul7lasx0vJ4XmEDm2W6/fvX6tUj+nx9fNLbMtD/POf2OaH/OdfsZkHLOuPmy/1lemcfU7zbX9pe1adiQCz5UX/xNkEhcVuzx8yJvw6zswhReEsE+Lk0RTK/evnx1t24otWiD9khX8DymsRdk8tSnstr0JuX0WVSxzv7eoIZ4F7b2cYwfot1Mcs29EhuL+l5YVMl/J7JbBojjF7/7TPILGl8Q9qZVnrljlmJ0Nr9/og5uKG4oL5xHZalTMWJTfSNl1BHpbd7vDMajf2F5Sr3KSlicnvpM/oa2LKxk5jwyxYME6FHzCZRzhOnro9eTvTBvTp43fXmA08b93OugdaWQ8Ef8gD7XLJN2de12WFl/Poc52a1HIndaqS27DKR9FWrGjCihQNW7GaxE4d9k5Mx0Cd3xx4nHHe/84wz4tl2ZtC+k4WSFk3A09Cdr3M9NVqETsSddnpbdlrf4WW7amgIkND7hPjW2ee9Qa/z6zAZlZChNRKFqnVPOkfZayT/mK237VZpMMUXZ6HgrOVJaCvz5cODtYSlmNzExzDzGYDiYjFEH38AwIZS/VFj0xbYrMJwR7Ogs6rHpU2YWEVg6bH0G4VRKsOPc7Cqx5mDMxcyWMM2VxpuyW9NeSInSUIq0dLcgzBFd2PIbCENtg7etCPs2GuqqPHyuhXi3+FwKwmt4L5M1f+uOBv37wwMBv6fXq6EEyhsLtKhBIsjdr/BSCskxFxBpyUq5tW+3RhdPSzXCR219aqIcO7uZQ++VPgUo9e7h75Yy4398S70WPPeGl2JVpld1yVN9tjx0pf8RbiT3CZOkCUCG+xgpwJ5EYihbcD0/saq/AWYCOvtljTxCu9DaaJN5zM5cS7ezwKKzKf2II2HegQj0r0WvEnMiEOTy1Z9NVXGRItMl9lEprz1EeCxERuW4rU4iwTlypSolPqGE82BhIpP4Cc2Lh3h1Qpta4jVZYP3M1Dmc7mkvmbHR1/E6m7otL+3TaX9J/k1svoz/pSqVGVxI9K1sdIlzZkzujLHKF4FiRmKpY4jNVkgVOxulrcNMr17IR6MUVm57GUlKkgfYdHJB56vhVZVDKZoueBy+ocPHjLg96jPz0PDNgTMspnsof2qXsGLxvrKJVy8jyf3SsF2ZTBc7nycT7yuHBfU9G5Lxd9uBqkVhnprqoCm6q73FdiV3WnMq+3ZyAysVzHMNPomK/Ipr3BoRUVp9hdfT9XGYtvF+BjeqjQLVhV2S7YfnyvgPWy4Uzb5+g/JKFPS8hh+fYYhaRGpxoYSjT36cu7USNIP/cPZ/zsaTt7S5i9ZStmz67kj0seT82WI7hcBp4d+toR3N2CYMppbA1ppPSyD7EIZL08oWh5ht8DPGcX25QokDzT3umrfDt1zyOzUKZGeBwmMpVBqJfpHKR79hq3/vxq+b7k3VG/NFr67YBLat3PwTV88DYcYLd2vG0a1TXKN+WW6IaMdUM6uKH5u3RDUjg3NJ/ckGQHhFmFK/gOYMw2RBa5zmh9iYo8WvmKn0ZLAl3GZ7mj4zLw00mONkrRo80zk5rLbddHZYGi5gL2A46CdQcHe7MdoVJgZwsSgstdNVMpQwVdPGcZqFnaKIboLMRt3sflTLK1wmalfVAp8p/eD3I/FwxEVmvJoYAJ6WrMo72TmbNc51U6GfymnEzymmiyA2RCClrxsTmCSsPY+FLoaUmR+QNiElulHXWjTzVt76SPTTnbDkj6spss5+6ci7qk8ZSDMQO5nEq5nFRyKHfS2XHBoeMyZcdl6OAxLY7+YzenPHUsb663ONrXbEr1gPzAToRKTtaxnzvCBs/i95F3bIt1bK+YPZWOTS/6x/n5k2NLHSLrAHwfxccnVen257X4WknoxOVOnNdFxFj2bpTGEgt0d5PguoqsYzaVvlHoN01Z32hqQt+Psrb4mll69aZu86Yc8mtz3UOD83PNA2v7dSN15ft1u1n3hcy+oLwH85qpW7OlsK2CJj8eU4fcbmEcY/zwPbuTD5ZN+dN0h66KW3nifXrq7mx9MAw3vwTvCTFyT+/G1KT5PV72hvx9vSeG5jv5Zo29jC239aZJcysTd+9vzhwnfgpP3zUHGWi6lw7YuQMr4o1DK1p3oGWGaEFDF/oyypjA87eve2U/NfSLe+vD83+0fPe+SsQm37l52cuzs5S3eb23XpDuTvk7ONPQINZ7O3GwGFBZMTDCZLJSQAzwqKwUgKGWtY67B4ZazZB2oRpq6WNaMSD3T3tJYVIZKIZ4RCpN1FDWWinvQ65eybiR/3oxbw5EJq4uIDIztvnQn+3SOs1tLQJMzqqzm93TF06+nPo6mtGoi3JKfM+uT4RkOZVfVE7ZhfFEXp/qEn0/XeVy3DWKyY5Vtl3/B2tbq5M=###1688:XlxV32DM 3fff 680eNq9WluSGzsI3VJLgB7OOu4CEo/9mb98TWXvV1bbHU+7sRCQVKpSrrFABwQHhIxnulKBCJFq+x+XhUr7d8WwLAh4RqKCbU37dMWMtf3tSkQIS/u+4oVwL3+48oIEEeOLFHQp2lbQbQVlihDa6rBbHR6r7yuaxJf9bmuvTY5eJOO2T8aEAS99xZTktuexJGdbEko+fP2xl37+hpPuJwZIX89sZ/nxae712DXd7XzR87E/xYFlq56Ph57fv3+e44/TcoLPn+FSTz/Ct1/hUk7x23/hkk+pfgLAdx71w7ZBXCc2co5WvqKGHpNa363St+/CLZe6zedmc4ir0YW+GE0hfwJCaHa/Yj7Gl5goRKiHlpdbbt7jd2EsLoeSqWf1+SbZ/g6sNJvlLx4PkBnsgfV5Evg8bD4Hk5581xNNeNaT6PkDZNBDKxu3z+/8kwV44mZXMumhzS4LHpldRYAnb3jAoIfWTOt4wMB3uPFdNJ17z5IH23VfaT19z9meGdHgIT89ZYtEC8vKIroKPA2bpyMds2B94s9j5g2DqOkRQYGNn2duPK5ctLIww8CrN2YYeNX32vGM5B5dYHhTL9YukPPUsY156GNg+tX9nhem85Tus3ITU0Vb1xntCLsWDuF+H4E9k7HBoTqOCPk+pOw+8F1MPO358MSi3IeYzlDa5WRWWt6jcdElw9C19o7y4xRO3/Nn7ySXtZNszNwb6AL1TzPZaCe2y9KDkujwEtU/3db+gXYoV5Vy+CTHhQAMdCTBxc9DR5jQobUF/wmO4IAjDXGgG44L3lKiybYVtNM6E82ayCCHU6W3Voz8mB2syA5WZJMVaGII7a7JFD/JtDeZ9h6fWDJrgOfCrNQRjHEz8kMxR+5aMm0ZJNMRJnRos7D8ExzBAce4QhQ3HNroqg7cWg0ssQ5LrLEZHHIkmLiuOpxk/QvZIa0yxXSGiyEGR9LBFH2LOXKSWcOoykh0BGP88tdvXxn+Kj67T1bsUxT7FHN/xZ0W9wBivYeRwz0MHe5hJNDxzD9HOrJD344CHRyXHmEqDn3losLExU2Yuukt4/EKq4Orvkc2oio3iLUxKvUx8emqDdXogHkWR/Ott7jGPTw/kTrEbHXOSl90UcQ8cnxBhO/9eQbf83SYqpAK0XEmoKMuj7pBUxm4MFEEDrVCl8ccs6CDb9KQ7caTRRjioKl4tiMacxINOxYwa0CzBhJ51hp15Fy9p7hCyYXAoB7PCsmhpxOcvW5m2og4unuE9NnZ8SyuN6Pqqm1c3ZOALYoKk75DSK71fba/tb+qgXNMjLM2TVbGYrYxTr2karlXgmNxPf8g8vXILruO7PC2K5lL+DETTv3IYTwBQmemQ2eWQnOPNOex8ewDHZgJnZkJJ3+eXMw2xqnfNGiZCR2YCZ2ZCR2YCc3MhGZmgrez/3kukb3ZksObLTq82ZJAh+1eJHsnRIEOv2my7P1oUWHST5Orw/Skuk6TgcWhu4/y+jTT5FltqEanmyZLXtmLa9yPpsmzMVuds9IXXRQxj18XJjnP4HueDr/iIBUizTR5TpdH3aCpDNR1cLJaoctj7TRZ9AsKiOZfIQVlvdG/J1Xne1N1ne5U5/tKdZg6V4c7Sv0L0ek1dQb2Tk8Gr1tvrtVh6lyVU+d5j5A+SwRT513f9D8tTbOj###1264:XlxV32DM 3fff 4d8eNq9mmti3CAMhK+0oBHg86TJ/Y9QvE5apw2RhBT9yMs2+jwCS2YzOPDKb6hUiB4PvOANHQcIH8ePefT8HedfXFHwi994UCXmg85BX41aRavUFfE62jz+SmURY2zdExb3VDY1ruLRVjReqMXzuDZjX8e+romcxbapkRYah1ujLu9SniLu4xE6/0WVa0mXP0Z3RyjE38a4nmv9Kjy2orVFnolq6N1JGVvF261SV7xn/s/zG5XJmrEirPQrnq8y2bPeQjVKlUmjUZd3X2XS3cderncrk06XP0Z3R/hUmZ4j63NkE0fiPreLkWWR1bo5st3n8TayK7LNmyNXOvu2zr6tc2zrHNs6h1InzTPMAy//jb6dWY4+zxEPR5z37vF8Ejxxrgye58o3cboY55rD8xxccXT56UH56UH5aUH5aUH5aeb8fNTTeQXPW5xx/q2sfyru52hXVZ1Hn8/SqfK67uobc1Sfd1FP3fOK603Cw+pqUnGSZsdSs6o7g3oWOVnz7UDNgjuHQ81ity5Ss5qTBYOu7talXxvDPV/6tXG417ye5a8bZGAVt7LDQKvu9WGoie7qQefVapq3fljyyIFdrIq0FtbHZFYP7GQybQT2Mpl2BHYziVb9VeRW92VaCexoMq0G9jSZRoFdTaYhsK/JNHZnshhoLayzyawe2Nlk2nCvEcuKPAL7qEQjdyU5DCxjHWHie1Usq/jzi2Ysf5X62zdpzQLPUTS/1w01Ra2mBj675buZ4RkloJ88DLQSWHNJpEVmUqaRm9Z1mURsX5ZYXl1s0IXA9SGxeljXkkgjsGdJrMM9W3pWxKdGMNC8q6Pddn4k0lrg8yzTeuB7vUwbgfVDpvnXJNQ0f0djw7z5K7FFWXUrYwONwuqjzPI+2cXA4sBqLNNa4Du9TOuB1V+mjbAdhMw63PVRT/PvjYaB5X+Xg2InViYP85y/PuLWRTU8rz420fxvJDZ1/k+jNW9AH7wS0N1svBL4P5L13nr+DNBGBpZ7VWqe8XeWfx8KNStyt/YQ9rwRq5ENtBKYxyrSIt+2ZFrkf7Wq4jMsOZdIdEwgzTGBRMcEEh0TSHRMINExgUTHBBIdE0h0TCDRMYFUxwQSHRNIdUwg1TGBVMcEEh0TSHVMINExgVTHBFIdE0h1TCDVMYFUxwRSHRNIdUwg1TGBVMcEEh0TSHVMINUxgVTHBBIdE/hRxwSSHRP4UccEUh0TSHVMINUxgVTHxJ32G8UvTs8=###2300:XlxV32DM 3fff 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###2368:XlxV32DM 3fff 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###2484:XlxV32DM 3fff 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###2980:XlxV32DM 3fff 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###2552:XlxV32DM 3fff 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###1964:XlxV32DM 1b4d 794eNq1WEty4zYQvUwOwEbjr81UssliFplKcgACIJeumkWyYfnuaZCy+CgBkixXxmWL6v/ndQMcnc2ss56100Gz/E7yXTGzHgZdecRWnlhkjPGVYjwr1ibIX3fkNOwQq2EwXn7qt2qpcvTZilqtVOquORtj9EqbtGElWqrh/6J5ts3dSHZ7t55D9WIkyurpEMVeB9XU9Gt8k8S3aW5WVEe6n+F0yfCV6GraR829L0bnVZM6eekrTb5obnXffG5W1GrlFT/6C5r+Vc0X9eimD7z3AWrSqiY/hxJA9Ct+bvv9vGZoVsWvVbmf23MTYPbc3t/fsh/jEM3yRlOIdjj9Q5OP6vQ3TS7S4Bf2PDYSMY1BEZpxZxgOzVB2CbNK9ODeXiLm4RLrLcNyEztwGnaGdV1VK/QFK1u+1Y7uDHGniu2I+nFsS9tQN6Ld8ldiWGVXyCSBDG+QSfoAmSCIMYKYfgfX7jw4BKgD5VasdB7YbUG3cedgBAZYltxdrR8LiJuVMHf64R7iYtOuPK4oXSuapaJ6q+hIh4pmt7B9egZppX5Ug+4cHVs17q30Pu5r7OoB2ugTeKMO4sxhG3y2zlsWW531F+Z4i6Ksc0znfpV9ArI/9MuOi+yh8eFF6NEMDE9fUIbOIWnuXcceXgfNfmW7HBXTjtJQDlnPtLBrolQ/HTF3EKPvSLci509Yoc6EhydsPF/9cm3lBgGlg4CuhQeYpcu5wKy+YCd8nC61TisG5h357oiBFLZNdT9r/SDr5ytfJa2mLdKuFkq0Zps+gZZN2m02O1c8fSXROke/0tn9diI3w60nYYDzWB164mUudWMb1Uslny298lp20W9JGj6/ZHFP6yxhxNPUO2M7L7N8qF57e+27iz5O2EBQIz5ubN/e2Nc1Ug9qxE/XiLuvQ69mrCHjDRWs68Wetow5fWQsDDPsDK2R4YFBwLCgwRMy3MrYCRkk1QySeQITChjFAsMgAzX8ztCawIdFRgBGAIZBjQyMMe+MEekl0kdew0qYj4nqxLsmukp6pzukm51ukA7pD0iHXmDyKVxFliAFLEa6TgFbQxhypl2ShGAIkEPQK0PpaNMoKCwBYoxSO2NGOpStIB3KlpAO5aEBGe46FAh6QsGbmAFXGQXnK0Ezg2/omcFxIEYGZE0WGdB90siwV05Dgb5j5TKAO2PppgQMrN0MGgEwZAcH4wB0jxgdkQElC6gRoD1BIwPSCFAii+OeoahOQbUDQMmNOGmocRhBiwyAQoAh9NgGZ5EB0+YgD++g1U4hAzWguD4DBuyBAc4tOs9QK4vOC2pAP4KBBlqoVThoALjDhJkPyAD0WJib0eIiAyCOh8wzMgBvDk0V6IdBjQk6aMBHIvBhPDKgVgZqlTRqQEnS4bQD56mA87y39v3fv2j9D466FYflz3GMNMq3omJ9WVAzR3Y05NPv5PPpJ8mQJm2r+BClyIuQp9Mv5NeqJS4bZwBOiTpdJN6T5twSMSBSnTZEPFoxtiUSQIRtaomMu0j99+3bb0txKqpxolEehPDrkhbaehVVzGOKhVlSn8zpfaGyDm6sy8cKg2KRUS7Ky7N8cpHfqmBikcvGWUmt1zEVOUrbBDYSgwpcbyNi0Puzp2KzHB4S6ghkkoPDFoG94FVODRtmmY0xWjlgbZE0mGWCfXTSdycnmxfEeDfGMFj5nWRReFmGcwxTjuOQ4ujmOMp0JJmp5GxMnuRFxl57ZXE6RSWPQm7wZrntaGmav2ZKFrJDkuKGlpPwirgtF5PK1fUohlZzLHlynVxVy6NiyPVT+PPpu5IcePnuJvn7U17E3lRd0vIRxnqQtBnUY1TcV+/u7F0SkWFxUe7pt96n/8W74OyP5YeoD6cfMn4kUBnql59E67ci6PXyIdCc6wdvH7ZWqj74Wq76MNaa1Yey9kMe5pqLPEhrJaGTePoPkkTjqQ==
\ No newline at end of file
<?xml version="1.0" encoding="UTF-8" ?>
<document>
<!--The data in this file is primarily intended for consumption by Xilinx tools.
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
<application name="impact" timeStamp="Wed Mar 07 14:31:40 2012">
<section name="Project Information" visible="false">
<property name="ProjectID" value="da00646f0b4c4ac8baf5d51b1868f1e8"/>
<property name="ProjectIteration" value="1"/>
</section>
<section name="iMPACT Project Info" visible="true">
<property name="Use Project File" value="Yes"/>
<property name="Project Entry" value="ise"/>
<property name="OS Name" value="Microsoft Windows 7 (64 Bit)"/>
<property name="User Lic. Info" value="179841373_174164856_206270303_042"/>
</section>
<section name="iMPACT One Step SVF File Mode" visible="true">
<item name="Chain Summary">
<property name="Number of device" value="1"/>
<property name="Number of Xilinx device" value="1"/>
<property name="Number of Non-Xilinx device" value="0"/>
</item>
<item name="Chain Description">
<property name="Device1" value="spartan6"/>
<property name="Part1" value="xc6slx45"/>
</item>
<item name="Boundary Scan Operations Statistics">
<property name="BSCAN Operation" value="Program -p 0
"/>
</item>
<item name="Cable Summary">
<property name="Cable Type" value="Platform Cable USB"/>
<property name="Cable Speed" value="6 MHz"/>
<property name="Port" value="usb-hs"/>
<property name="Local_Server_Mode" value="Local"/>
</item>
</section>
</application>
</document>
......@@ -72,11 +72,12 @@ output [7:0] debug_out;
// Registers
//
reg SPI_CLK_reg; // Stabalized version of SPI_CLK
reg SPI_CLK_reg1;
//reg SPI_CLK_reg1;
reg SPI_SS_reg; // Stabalized version of SPI_SS
reg SPI_SS_reg1;
//reg SPI_SS_reg1;
reg SPI_MOSI_reg; // Stabalized version of SPI_MOSI
reg SPI_MOSI_reg1;
//reg SPI_MOSI_reg1;
reg prev_spiClk; // Value of SPI_CLK during last SysClk cycle
reg prev_spiSS; // Value of SPI_SS during last SysClk cycle
reg [7:0] state_reg; // Register backing the 'state' wire
......@@ -96,14 +97,24 @@ wire [2:0] rcBitIndex; // Bit of rcByte to write to next
// Save buffered SPI inputs
always @(posedge SysClk) begin
SPI_CLK_reg1 <= SPI_CLK;
SPI_CLK_reg <= SPI_CLK_reg1;
SPI_SS_reg1 <= SPI_SS;
SPI_SS_reg <= SPI_SS_reg1;
SPI_MOSI_reg1 <= SPI_MOSI;
SPI_MOSI_reg <= SPI_MOSI_reg1;
// SPI_CLK_reg1 <= SPI_CLK;
// SPI_CLK_reg <= SPI_CLK_reg1;
// SPI_SS_reg1 <= SPI_SS;
// SPI_SS_reg <= SPI_SS_reg1;
// SPI_MOSI_reg1 <= SPI_MOSI;
// SPI_MOSI_reg <= SPI_MOSI_reg1;
SPI_CLK_reg <= SPI_CLK;
SPI_SS_reg <= SPI_SS;
SPI_MOSI_reg <= SPI_MOSI;
end
//wire SPI_CLK_reg;
//wire SPI_SS_reg;
//wire SPI_MOSI_reg;
//assign SPI_CLK_reg = SPI_CLK;
//assign SPI_SS_reg = SPI_SS;
//assign SPI_MOSI_reg = SPI_MOSI;
// Detect new valid bit
always @(posedge SysClk) begin
prev_spiClk <= SPI_CLK_reg;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment