Commit 576b0897 authored by Michael J. Lyons's avatar Michael J. Lyons

Merge branch 'fastclock' of github.com:mjlyons/vSPI into fastclock

parents 724f5f3e 5471678e
......@@ -21,38 +21,38 @@ ADDRESS_MAP microblaze_0 MICROBLAZE 100
ADDRESS_SPACE lmb_bram_combined RAMB16 [0x00000000:0x0000FFFF]
BUS_BLOCK
lmb_bram/lmb_bram/ramb16bwer_0 [31:31] INPUT = lmb_bram_combined_0.mem PLACED = X2Y44;
lmb_bram/lmb_bram/ramb16bwer_1 [30:30] INPUT = lmb_bram_combined_1.mem PLACED = X3Y42;
lmb_bram/lmb_bram/ramb16bwer_2 [29:29] INPUT = lmb_bram_combined_2.mem PLACED = X2Y48;
lmb_bram/lmb_bram/ramb16bwer_3 [28:28] INPUT = lmb_bram_combined_3.mem PLACED = X2Y40;
lmb_bram/lmb_bram/ramb16bwer_4 [27:27] INPUT = lmb_bram_combined_4.mem PLACED = X3Y40;
lmb_bram/lmb_bram/ramb16bwer_5 [26:26] INPUT = lmb_bram_combined_5.mem PLACED = X2Y46;
lmb_bram/lmb_bram/ramb16bwer_6 [25:25] INPUT = lmb_bram_combined_6.mem PLACED = X2Y42;
lmb_bram/lmb_bram/ramb16bwer_7 [24:24] INPUT = lmb_bram_combined_7.mem PLACED = X3Y38;
lmb_bram/lmb_bram/ramb16bwer_8 [23:23] INPUT = lmb_bram_combined_8.mem PLACED = X1Y38;
lmb_bram/lmb_bram/ramb16bwer_9 [22:22] INPUT = lmb_bram_combined_9.mem PLACED = X2Y38;
lmb_bram/lmb_bram/ramb16bwer_10 [21:21] INPUT = lmb_bram_combined_10.mem PLACED = X3Y36;
lmb_bram/lmb_bram/ramb16bwer_11 [20:20] INPUT = lmb_bram_combined_11.mem PLACED = X3Y34;
lmb_bram/lmb_bram/ramb16bwer_12 [19:19] INPUT = lmb_bram_combined_12.mem PLACED = X2Y26;
lmb_bram/lmb_bram/ramb16bwer_13 [18:18] INPUT = lmb_bram_combined_13.mem PLACED = X3Y28;
lmb_bram/lmb_bram/ramb16bwer_14 [17:17] INPUT = lmb_bram_combined_14.mem PLACED = X2Y30;
lmb_bram/lmb_bram/ramb16bwer_15 [16:16] INPUT = lmb_bram_combined_15.mem PLACED = X2Y28;
lmb_bram/lmb_bram/ramb16bwer_16 [15:15] INPUT = lmb_bram_combined_16.mem PLACED = X2Y32;
lmb_bram/lmb_bram/ramb16bwer_17 [14:14] INPUT = lmb_bram_combined_17.mem PLACED = X0Y32;
lmb_bram/lmb_bram/ramb16bwer_18 [13:13] INPUT = lmb_bram_combined_18.mem PLACED = X1Y32;
lmb_bram/lmb_bram/ramb16bwer_19 [12:12] INPUT = lmb_bram_combined_19.mem PLACED = X1Y34;
lmb_bram/lmb_bram/ramb16bwer_20 [11:11] INPUT = lmb_bram_combined_20.mem PLACED = X2Y22;
lmb_bram/lmb_bram/ramb16bwer_21 [10:10] INPUT = lmb_bram_combined_21.mem PLACED = X2Y24;
lmb_bram/lmb_bram/ramb16bwer_22 [9:9] INPUT = lmb_bram_combined_22.mem PLACED = X1Y28;
lmb_bram/lmb_bram/ramb16bwer_23 [8:8] INPUT = lmb_bram_combined_23.mem PLACED = X3Y24;
lmb_bram/lmb_bram/ramb16bwer_24 [7:7] INPUT = lmb_bram_combined_24.mem PLACED = X3Y32;
lmb_bram/lmb_bram/ramb16bwer_25 [6:6] INPUT = lmb_bram_combined_25.mem PLACED = X1Y36;
lmb_bram/lmb_bram/ramb16bwer_26 [5:5] INPUT = lmb_bram_combined_26.mem PLACED = X2Y36;
lmb_bram/lmb_bram/ramb16bwer_27 [4:4] INPUT = lmb_bram_combined_27.mem PLACED = X2Y34;
lmb_bram/lmb_bram/ramb16bwer_28 [3:3] INPUT = lmb_bram_combined_28.mem PLACED = X3Y30;
lmb_bram/lmb_bram/ramb16bwer_29 [2:2] INPUT = lmb_bram_combined_29.mem PLACED = X3Y26;
lmb_bram/lmb_bram/ramb16bwer_30 [1:1] INPUT = lmb_bram_combined_30.mem PLACED = X1Y26;
lmb_bram/lmb_bram/ramb16bwer_31 [0:0] INPUT = lmb_bram_combined_31.mem PLACED = X1Y30;
lmb_bram/lmb_bram/ramb16bwer_0 [31:31] INPUT = lmb_bram_combined_0.mem PLACED = X2Y26;
lmb_bram/lmb_bram/ramb16bwer_1 [30:30] INPUT = lmb_bram_combined_1.mem PLACED = X1Y24;
lmb_bram/lmb_bram/ramb16bwer_2 [29:29] INPUT = lmb_bram_combined_2.mem PLACED = X1Y36;
lmb_bram/lmb_bram/ramb16bwer_3 [28:28] INPUT = lmb_bram_combined_3.mem PLACED = X1Y22;
lmb_bram/lmb_bram/ramb16bwer_4 [27:27] INPUT = lmb_bram_combined_4.mem PLACED = X2Y24;
lmb_bram/lmb_bram/ramb16bwer_5 [26:26] INPUT = lmb_bram_combined_5.mem PLACED = X2Y36;
lmb_bram/lmb_bram/ramb16bwer_6 [25:25] INPUT = lmb_bram_combined_6.mem PLACED = X1Y26;
lmb_bram/lmb_bram/ramb16bwer_7 [24:24] INPUT = lmb_bram_combined_7.mem PLACED = X1Y34;
lmb_bram/lmb_bram/ramb16bwer_8 [23:23] INPUT = lmb_bram_combined_8.mem PLACED = X0Y32;
lmb_bram/lmb_bram/ramb16bwer_9 [22:22] INPUT = lmb_bram_combined_9.mem PLACED = X1Y28;
lmb_bram/lmb_bram/ramb16bwer_10 [21:21] INPUT = lmb_bram_combined_10.mem PLACED = X1Y30;
lmb_bram/lmb_bram/ramb16bwer_11 [20:20] INPUT = lmb_bram_combined_11.mem PLACED = X1Y32;
lmb_bram/lmb_bram/ramb16bwer_12 [19:19] INPUT = lmb_bram_combined_12.mem PLACED = X1Y40;
lmb_bram/lmb_bram/ramb16bwer_13 [18:18] INPUT = lmb_bram_combined_13.mem PLACED = X0Y36;
lmb_bram/lmb_bram/ramb16bwer_14 [17:17] INPUT = lmb_bram_combined_14.mem PLACED = X0Y34;
lmb_bram/lmb_bram/ramb16bwer_15 [16:16] INPUT = lmb_bram_combined_15.mem PLACED = X2Y40;
lmb_bram/lmb_bram/ramb16bwer_16 [15:15] INPUT = lmb_bram_combined_16.mem PLACED = X2Y30;
lmb_bram/lmb_bram/ramb16bwer_17 [14:14] INPUT = lmb_bram_combined_17.mem PLACED = X2Y34;
lmb_bram/lmb_bram/ramb16bwer_18 [13:13] INPUT = lmb_bram_combined_18.mem PLACED = X2Y32;
lmb_bram/lmb_bram/ramb16bwer_19 [12:12] INPUT = lmb_bram_combined_19.mem PLACED = X2Y28;
lmb_bram/lmb_bram/ramb16bwer_20 [11:11] INPUT = lmb_bram_combined_20.mem PLACED = X1Y38;
lmb_bram/lmb_bram/ramb16bwer_21 [10:10] INPUT = lmb_bram_combined_21.mem PLACED = X2Y52;
lmb_bram/lmb_bram/ramb16bwer_22 [9:9] INPUT = lmb_bram_combined_22.mem PLACED = X2Y50;
lmb_bram/lmb_bram/ramb16bwer_23 [8:8] INPUT = lmb_bram_combined_23.mem PLACED = X2Y48;
lmb_bram/lmb_bram/ramb16bwer_24 [7:7] INPUT = lmb_bram_combined_24.mem PLACED = X2Y42;
lmb_bram/lmb_bram/ramb16bwer_25 [6:6] INPUT = lmb_bram_combined_25.mem PLACED = X3Y34;
lmb_bram/lmb_bram/ramb16bwer_26 [5:5] INPUT = lmb_bram_combined_26.mem PLACED = X3Y32;
lmb_bram/lmb_bram/ramb16bwer_27 [4:4] INPUT = lmb_bram_combined_27.mem PLACED = X2Y44;
lmb_bram/lmb_bram/ramb16bwer_28 [3:3] INPUT = lmb_bram_combined_28.mem PLACED = X2Y38;
lmb_bram/lmb_bram/ramb16bwer_29 [2:2] INPUT = lmb_bram_combined_29.mem PLACED = X3Y36;
lmb_bram/lmb_bram/ramb16bwer_30 [1:1] INPUT = lmb_bram_combined_30.mem PLACED = X3Y38;
lmb_bram/lmb_bram/ramb16bwer_31 [0:0] INPUT = lmb_bram_combined_31.mem PLACED = X2Y46;
END_BUS_BLOCK;
END_ADDRESS_SPACE;
......
......@@ -107,13 +107,14 @@ int main()
}
*/
/*
xil_printf(
"pMosiBase = [ 0x%08x 0x%08x 0x%08x ... ]\n"
"pMisoBase = [ 0x%08x 0x%08x 0x%08x ... ]\n"
"\n",
pMosiBase[0], pMosiBase[1], pMosiBase[2],
pMisoBase[0], pMisoBase[1], pMisoBase[2]);
*/
DmaCopy(pMosiBase, pMisoBase, DMA_BUFFER_BYTE_SIZE);
//xil_printf("debug_out: 0x%08X\n", pSpiifcBase[0]);
......
......@@ -21,38 +21,38 @@ ADDRESS_MAP microblaze_0 MICROBLAZE 100
ADDRESS_SPACE lmb_bram_combined RAMB16 [0x00000000:0x0000FFFF]
BUS_BLOCK
lmb_bram/lmb_bram/ramb16bwer_0 [31:31] INPUT = lmb_bram_combined_0.mem PLACED = X2Y44;
lmb_bram/lmb_bram/ramb16bwer_1 [30:30] INPUT = lmb_bram_combined_1.mem PLACED = X3Y42;
lmb_bram/lmb_bram/ramb16bwer_2 [29:29] INPUT = lmb_bram_combined_2.mem PLACED = X2Y48;
lmb_bram/lmb_bram/ramb16bwer_3 [28:28] INPUT = lmb_bram_combined_3.mem PLACED = X2Y40;
lmb_bram/lmb_bram/ramb16bwer_4 [27:27] INPUT = lmb_bram_combined_4.mem PLACED = X3Y40;
lmb_bram/lmb_bram/ramb16bwer_5 [26:26] INPUT = lmb_bram_combined_5.mem PLACED = X2Y46;
lmb_bram/lmb_bram/ramb16bwer_6 [25:25] INPUT = lmb_bram_combined_6.mem PLACED = X2Y42;
lmb_bram/lmb_bram/ramb16bwer_7 [24:24] INPUT = lmb_bram_combined_7.mem PLACED = X3Y38;
lmb_bram/lmb_bram/ramb16bwer_8 [23:23] INPUT = lmb_bram_combined_8.mem PLACED = X1Y38;
lmb_bram/lmb_bram/ramb16bwer_9 [22:22] INPUT = lmb_bram_combined_9.mem PLACED = X2Y38;
lmb_bram/lmb_bram/ramb16bwer_10 [21:21] INPUT = lmb_bram_combined_10.mem PLACED = X3Y36;
lmb_bram/lmb_bram/ramb16bwer_11 [20:20] INPUT = lmb_bram_combined_11.mem PLACED = X3Y34;
lmb_bram/lmb_bram/ramb16bwer_12 [19:19] INPUT = lmb_bram_combined_12.mem PLACED = X2Y26;
lmb_bram/lmb_bram/ramb16bwer_13 [18:18] INPUT = lmb_bram_combined_13.mem PLACED = X3Y28;
lmb_bram/lmb_bram/ramb16bwer_14 [17:17] INPUT = lmb_bram_combined_14.mem PLACED = X2Y30;
lmb_bram/lmb_bram/ramb16bwer_15 [16:16] INPUT = lmb_bram_combined_15.mem PLACED = X2Y28;
lmb_bram/lmb_bram/ramb16bwer_16 [15:15] INPUT = lmb_bram_combined_16.mem PLACED = X2Y32;
lmb_bram/lmb_bram/ramb16bwer_17 [14:14] INPUT = lmb_bram_combined_17.mem PLACED = X0Y32;
lmb_bram/lmb_bram/ramb16bwer_18 [13:13] INPUT = lmb_bram_combined_18.mem PLACED = X1Y32;
lmb_bram/lmb_bram/ramb16bwer_19 [12:12] INPUT = lmb_bram_combined_19.mem PLACED = X1Y34;
lmb_bram/lmb_bram/ramb16bwer_20 [11:11] INPUT = lmb_bram_combined_20.mem PLACED = X2Y22;
lmb_bram/lmb_bram/ramb16bwer_21 [10:10] INPUT = lmb_bram_combined_21.mem PLACED = X2Y24;
lmb_bram/lmb_bram/ramb16bwer_22 [9:9] INPUT = lmb_bram_combined_22.mem PLACED = X1Y28;
lmb_bram/lmb_bram/ramb16bwer_23 [8:8] INPUT = lmb_bram_combined_23.mem PLACED = X3Y24;
lmb_bram/lmb_bram/ramb16bwer_24 [7:7] INPUT = lmb_bram_combined_24.mem PLACED = X3Y32;
lmb_bram/lmb_bram/ramb16bwer_25 [6:6] INPUT = lmb_bram_combined_25.mem PLACED = X1Y36;
lmb_bram/lmb_bram/ramb16bwer_26 [5:5] INPUT = lmb_bram_combined_26.mem PLACED = X2Y36;
lmb_bram/lmb_bram/ramb16bwer_27 [4:4] INPUT = lmb_bram_combined_27.mem PLACED = X2Y34;
lmb_bram/lmb_bram/ramb16bwer_28 [3:3] INPUT = lmb_bram_combined_28.mem PLACED = X3Y30;
lmb_bram/lmb_bram/ramb16bwer_29 [2:2] INPUT = lmb_bram_combined_29.mem PLACED = X3Y26;
lmb_bram/lmb_bram/ramb16bwer_30 [1:1] INPUT = lmb_bram_combined_30.mem PLACED = X1Y26;
lmb_bram/lmb_bram/ramb16bwer_31 [0:0] INPUT = lmb_bram_combined_31.mem PLACED = X1Y30;
lmb_bram/lmb_bram/ramb16bwer_0 [31:31] INPUT = lmb_bram_combined_0.mem PLACED = X2Y26;
lmb_bram/lmb_bram/ramb16bwer_1 [30:30] INPUT = lmb_bram_combined_1.mem PLACED = X1Y24;
lmb_bram/lmb_bram/ramb16bwer_2 [29:29] INPUT = lmb_bram_combined_2.mem PLACED = X1Y36;
lmb_bram/lmb_bram/ramb16bwer_3 [28:28] INPUT = lmb_bram_combined_3.mem PLACED = X1Y22;
lmb_bram/lmb_bram/ramb16bwer_4 [27:27] INPUT = lmb_bram_combined_4.mem PLACED = X2Y24;
lmb_bram/lmb_bram/ramb16bwer_5 [26:26] INPUT = lmb_bram_combined_5.mem PLACED = X2Y36;
lmb_bram/lmb_bram/ramb16bwer_6 [25:25] INPUT = lmb_bram_combined_6.mem PLACED = X1Y26;
lmb_bram/lmb_bram/ramb16bwer_7 [24:24] INPUT = lmb_bram_combined_7.mem PLACED = X1Y34;
lmb_bram/lmb_bram/ramb16bwer_8 [23:23] INPUT = lmb_bram_combined_8.mem PLACED = X0Y32;
lmb_bram/lmb_bram/ramb16bwer_9 [22:22] INPUT = lmb_bram_combined_9.mem PLACED = X1Y28;
lmb_bram/lmb_bram/ramb16bwer_10 [21:21] INPUT = lmb_bram_combined_10.mem PLACED = X1Y30;
lmb_bram/lmb_bram/ramb16bwer_11 [20:20] INPUT = lmb_bram_combined_11.mem PLACED = X1Y32;
lmb_bram/lmb_bram/ramb16bwer_12 [19:19] INPUT = lmb_bram_combined_12.mem PLACED = X1Y40;
lmb_bram/lmb_bram/ramb16bwer_13 [18:18] INPUT = lmb_bram_combined_13.mem PLACED = X0Y36;
lmb_bram/lmb_bram/ramb16bwer_14 [17:17] INPUT = lmb_bram_combined_14.mem PLACED = X0Y34;
lmb_bram/lmb_bram/ramb16bwer_15 [16:16] INPUT = lmb_bram_combined_15.mem PLACED = X2Y40;
lmb_bram/lmb_bram/ramb16bwer_16 [15:15] INPUT = lmb_bram_combined_16.mem PLACED = X2Y30;
lmb_bram/lmb_bram/ramb16bwer_17 [14:14] INPUT = lmb_bram_combined_17.mem PLACED = X2Y34;
lmb_bram/lmb_bram/ramb16bwer_18 [13:13] INPUT = lmb_bram_combined_18.mem PLACED = X2Y32;
lmb_bram/lmb_bram/ramb16bwer_19 [12:12] INPUT = lmb_bram_combined_19.mem PLACED = X2Y28;
lmb_bram/lmb_bram/ramb16bwer_20 [11:11] INPUT = lmb_bram_combined_20.mem PLACED = X1Y38;
lmb_bram/lmb_bram/ramb16bwer_21 [10:10] INPUT = lmb_bram_combined_21.mem PLACED = X2Y52;
lmb_bram/lmb_bram/ramb16bwer_22 [9:9] INPUT = lmb_bram_combined_22.mem PLACED = X2Y50;
lmb_bram/lmb_bram/ramb16bwer_23 [8:8] INPUT = lmb_bram_combined_23.mem PLACED = X2Y48;
lmb_bram/lmb_bram/ramb16bwer_24 [7:7] INPUT = lmb_bram_combined_24.mem PLACED = X2Y42;
lmb_bram/lmb_bram/ramb16bwer_25 [6:6] INPUT = lmb_bram_combined_25.mem PLACED = X3Y34;
lmb_bram/lmb_bram/ramb16bwer_26 [5:5] INPUT = lmb_bram_combined_26.mem PLACED = X3Y32;
lmb_bram/lmb_bram/ramb16bwer_27 [4:4] INPUT = lmb_bram_combined_27.mem PLACED = X2Y44;
lmb_bram/lmb_bram/ramb16bwer_28 [3:3] INPUT = lmb_bram_combined_28.mem PLACED = X2Y38;
lmb_bram/lmb_bram/ramb16bwer_29 [2:2] INPUT = lmb_bram_combined_29.mem PLACED = X3Y36;
lmb_bram/lmb_bram/ramb16bwer_30 [1:1] INPUT = lmb_bram_combined_30.mem PLACED = X3Y38;
lmb_bram/lmb_bram/ramb16bwer_31 [0:0] INPUT = lmb_bram_combined_31.mem PLACED = X2Y46;
END_BUS_BLOCK;
END_ADDRESS_SPACE;
......
<?xml version='1.0' encoding='UTF-8'?>
<report-views version="2.0" >
<header>
<DateModified>2012-03-06T18:05:57</DateModified>
<DateModified>2012-03-07T21:30:05</DateModified>
<ModuleName>system</ModuleName>
<SummaryTimeStamp>2012-03-06T18:05:56</SummaryTimeStamp>
<SummaryTimeStamp>2012-03-07T21:30:05</SummaryTimeStamp>
<SavedFilePath>C:/Users/mjlyons/workspace/vSPI/projnav/xps/__xps/ise/system.xreport</SavedFilePath>
<FilterFile>filter.filter</FilterFile>
<SavedFilterFilePath>C:/Users/mjlyons/workspace/vSPI/projnav/xps/__xps/ise</SavedFilterFilePath>
......
<EDKSYSTEM EDKVERSION="13.2" EDWVERSION="1.2" TIMESTAMP="Tue Mar 06 18:05:58 2012">
<EDKSYSTEM EDKVERSION="13.2" EDWVERSION="1.2" TIMESTAMP="Wed Mar 07 21:30:08 2012">
<SYSTEMINFO ARCH="spartan6" DEVICE="xc6slx45" PACKAGE="csg324" PART="xc6slx45csg324-2" SOURCE="C:/Users/mjlyons/workspace/vSPI/projnav/xps/system.xmp" SPEEDGRADE="-2"/>
......
......@@ -31,7 +31,7 @@
<TR ALIGN=LEFT><TD>Platgen Log File</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD>Simgen Log File</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD>BitInit Log File</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/mjlyons/workspace/vSPI/projnav/xps\system.log'>System Log File</A></TD><TD>Wed Mar 7 09:21:12 2012</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/mjlyons/workspace/vSPI/projnav/xps\system.log'>System Log File</A></TD><TD>Mon Mar 12 22:03:20 2012</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
</TABLE>
......@@ -57,5 +57,5 @@
</TABLE>
<br><center><b>Date Generated:</b> 03/07/2012 - 09:21:12</center>
<br><center><b>Date Generated:</b> 03/12/2012 - 22:03:21</center>
</BODY></HTML>
\ No newline at end of file
......@@ -5,5 +5,8 @@
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
<messages>
<msg type="warning" file="HDLCompiler" num="1016" delta="unknown" >"C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/../../../../../../test/spi_base/spiifc_writereg_tb.v" Line 45: Port <arg fmt="%s" index="1">regAddr</arg> is not connected to this instance
</msg>
</messages>
-intstyle "ise" -incremental -lib "unisims_ver" -lib "unimacro_ver" -lib "xilinxcorelib_ver" -lib "secureip" -o "C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/spiloop_isim_beh.exe" -prj "C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/spiloop_beh.prj" "work.spiloop" "work.glbl"
-intstyle "ise" -incremental -lib "unisims_ver" -lib "unimacro_ver" -lib "xilinxcorelib_ver" -lib "secureip" -o "C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/spiifc_writereg_tb_isim_beh.exe" -prj "C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/spiifc_writereg_tb_beh.prj" "spiifc_writereg_tb" "work.glbl"
......@@ -8,7 +8,7 @@
<!-- Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -->
<messages>
<msg type="info" file="ProjectMgmt" num="1769" ><arg fmt="%s" index="1">Analyzing Verilog file \&quot;C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/buffermem.v\&quot; into library work</arg>
<msg type="info" file="ProjectMgmt" num="1769" ><arg fmt="%s" index="1">Analyzing Verilog file \&quot;C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/ipcore_dir/spiloopmem.v\&quot; into library work</arg>
</msg>
</messages>
......
......@@ -7,15 +7,19 @@
<ItemView engineview="SynthesisOnly" guiview="Source" compilemode="AutoCompile" >
<ClosedNodes>
<ClosedNodesVersion>2</ClosedNodesVersion>
<ClosedNode>/spiifc - IMP C:|Users|mjlyons|workspace|vSPI|projnav|xps|pcores|spiifc_v1_00_a|hdl|vhdl|spiifc.vhd</ClosedNode>
<ClosedNode>/spiifc - IMP C:|Users|mjlyons|workspace|vSPI|projnav|xps|pcores|spiifc_v1_00_a|hdl|vhdl|spiifc.vhd/PLBV46_SLAVE_BURST_I - plbv46_slave_burst - implementation</ClosedNode>
<ClosedNode>/spiifc - IMP C:|Users|mjlyons|workspace|vSPI|projnav|xps|pcores|spiifc_v1_00_a|hdl|vhdl|spiifc.vhd/PLBV46_SLAVE_BURST_I - plbv46_slave_burst - implementation/I_SLAVE_ATTACHMENT - plb_slave_attachment - implementation</ClosedNode>
<ClosedNode>/spiifc_tb2 C:|Users|mjlyons|workspace|vSPI|test|spi_base|spiifc_tb2.v</ClosedNode>
<ClosedNode>/spiloop C:|Users|mjlyons|workspace|vSPI|src|spi_base|spiloop.v</ClosedNode>
<ClosedNode>/spiwrap C:|Users|mjlyons|workspace|vSPI|src|spi_base|spiwrap.v</ClosedNode>
</ClosedNodes>
<SelectedItems>
<SelectedItem>spiloop (C:/Users/mjlyons/workspace/vSPI/src/spi_base/spiloop.v)</SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000225000000020000000000000000000000000200000064ffffffff000000810000000300000002000002250000000100000003000000000000000100000003</ViewHeaderState>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000000be000000020000000000000000000000000200000064ffffffff000000810000000300000002000000be0000000100000003000000000000000100000003</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >true</UserChangedColumnWidths>
<CurrentItem>spiloop (C:/Users/mjlyons/workspace/vSPI/src/spi_base/spiloop.v)</CurrentItem>
</ItemView>
......@@ -29,13 +33,13 @@
<ClosedNode>User Constraints</ClosedNode>
</ClosedNodes>
<SelectedItems>
<SelectedItem>Synthesize - XST</SelectedItem>
<SelectedItem>Implement Design</SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem>Synthesize - XST</CurrentItem>
<CurrentItem>Implement Design</CurrentItem>
</ItemView>
<ItemView guiview="File" >
<ClosedNodes>
......@@ -87,42 +91,47 @@
<ClosedNode>User Constraints</ClosedNode>
</ClosedNodes>
<SelectedItems>
<SelectedItem>Generate Programming File</SelectedItem>
<SelectedItem></SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem>Generate Programming File</CurrentItem>
<CurrentItem></CurrentItem>
</ItemView>
<ItemView engineview="SynthesisOnly" sourcetype="DESUT_XCO" guiview="Process" >
<ClosedNodes>
<ClosedNodesVersion>1</ClosedNodesVersion>
</ClosedNodes>
<SelectedItems>
<SelectedItem/>
<SelectedItem></SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem/>
<CurrentItem></CurrentItem>
</ItemView>
<SourceProcessView>000000ff00000000000000020000011b0000011b01000000050100000002</SourceProcessView>
<CurrentView>Implementation</CurrentView>
<CurrentView>Behavioral Simulation</CurrentView>
<ItemView engineview="BehavioralSim" guiview="Source" compilemode="AutoCompile" >
<ClosedNodes>
<ClosedNodesVersion>2</ClosedNodesVersion>
<ClosedNode>/spiifc - IMP C:|Users|mjlyons|workspace|vSPI|projnav|xps|pcores|spiifc_v1_00_a|hdl|vhdl|spiifc.vhd</ClosedNode>
<ClosedNode>/spiifc_tb C:|Users|mjlyons|workspace|vSPI|test|spi_base|spiifc_tb.v/uut - spiifc - IMP</ClosedNode>
<ClosedNode>/spiifc_tb C:|Users|mjlyons|workspace|vSPI|test|spi_base|spiifc_writereg_tb.v/uut - spiifc - IMP</ClosedNode>
<ClosedNode>/spiifc_tb2 C:|Users|mjlyons|workspace|vSPI|test|spi_base|spiifc_tb2.v/uut - spiifc - IMP</ClosedNode>
<ClosedNode>/spiloop C:|Users|mjlyons|workspace|vSPI|src|spi_base|spiloop.v/mySpiIfc - spiifc - IMP</ClosedNode>
<ClosedNode>/spiwrap C:|Users|mjlyons|workspace|vSPI|src|spi_base|spiwrap.v/mySpiIfc - spiifc - IMP</ClosedNode>
</ClosedNodes>
<SelectedItems>
<SelectedItem>spiloop (C:/Users/mjlyons/workspace/vSPI/src/spi_base/spiloop.v)</SelectedItem>
<SelectedItem>spiifc_writereg_tb (C:/Users/mjlyons/workspace/vSPI/test/spi_base/spiifc_writereg_tb.v)</SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000175000000020000000000000000000000000200000064ffffffff000000810000000300000002000001750000000100000003000000000000000100000003</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >true</UserChangedColumnWidths>
<CurrentItem>spiloop (C:/Users/mjlyons/workspace/vSPI/src/spi_base/spiloop.v)</CurrentItem>
<CurrentItem>spiifc_writereg_tb (C:/Users/mjlyons/workspace/vSPI/test/spi_base/spiifc_writereg_tb.v)</CurrentItem>
</ItemView>
<ItemView engineview="BehavioralSim" sourcetype="" guiview="Process" >
<ClosedNodes>
......@@ -130,13 +139,13 @@
<ClosedNode>Design Utilities</ClosedNode>
</ClosedNodes>
<SelectedItems>
<SelectedItem/>
<SelectedItem></SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem/>
<CurrentItem></CurrentItem>
</ItemView>
<ItemView engineview="BehavioralSim" sourcetype="DESUT_VERILOG" guiview="Process" >
<ClosedNodes>
......@@ -170,6 +179,19 @@
<ClosedNodesVersion>1</ClosedNodesVersion>
<ClosedNode>ISim Simulator</ClosedNode>
</ClosedNodes>
<SelectedItems>
<SelectedItem/>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem/>
</ItemView>
<ItemView engineview="BehavioralSim" sourcetype="DESUT_XCO" guiview="Process" >
<ClosedNodes>
<ClosedNodesVersion>1</ClosedNodesVersion>
</ClosedNodes>
<SelectedItems>
<SelectedItem></SelectedItem>
</SelectedItems>
......
<?xml version='1.0' encoding='UTF-8'?>
<report-views version="2.0" >
<header>
<DateModified>2012-03-07T12:09:17</DateModified>
<ModuleName>spiwrap</ModuleName>
<DateModified>2012-03-07T22:01:00</DateModified>
<ModuleName>spiloop</ModuleName>
<SummaryTimeStamp>2012-03-07T10:02:06</SummaryTimeStamp>
<SavedFilePath>C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/iseconfig/spiifc.xreport</SavedFilePath>
<ImplementationReportsDirectory>C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav\</ImplementationReportsDirectory>
<DateInitialized>2012-03-07T09:46:20</DateInitialized>
<DateInitialized>2012-03-07T22:01:00</DateInitialized>
<EnableMessageFiltering>false</EnableMessageFiltering>
</header>
<body>
......
<?xml version='1.0' encoding='UTF-8'?>
<report-views version="2.0" >
<header>
<DateModified>2012-03-07T19:21:13</DateModified>
<ModuleName>spiloop</ModuleName>
<SummaryTimeStamp>2012-03-07T18:47:55</SummaryTimeStamp>
<DateModified>2012-03-07T21:28:58</DateModified>
<ModuleName>spiifc</ModuleName>
<SummaryTimeStamp>2012-03-07T21:27:48</SummaryTimeStamp>
<SavedFilePath>C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/iseconfig/spiloop.xreport</SavedFilePath>
<ImplementationReportsDirectory>C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav\</ImplementationReportsDirectory>
<DateInitialized>2012-03-07T18:30:28</DateInitialized>
<DateInitialized>2012-03-07T21:28:58</DateInitialized>
<EnableMessageFiltering>false</EnableMessageFiltering>
</header>
<body>
<viewgroup label="Design Overview" >
<view inputState="Unknown" program="implementation" ShowPartitionData="false" type="FPGASummary" file="spiloop_summary.html" label="Summary" >
<view inputState="Unknown" program="implementation" ShowPartitionData="false" type="FPGASummary" file="spiifc_summary.html" label="Summary" >
<toc-item title="Design Overview" target="Design Overview" />
<toc-item title="Design Utilization Summary" target="Design Utilization Summary" />
<toc-item title="Performance Summary" target="Performance Summary" />
<toc-item title="Failing Constraints" target="Failing Constraints" />
<toc-item title="Detailed Reports" target="Detailed Reports" />
</view>
<view inputState="Unknown" program="implementation" contextTags="FPGA_ONLY" hidden="true" type="HTML" file="spiloop_envsettings.html" label="System Settings" />
<view inputState="Translated" program="map" locator="MAP_IOB_TABLE" contextTags="FPGA_ONLY" type="IOBProperties" file="spiloop_map.xrpt" label="IOB Properties" />
<view inputState="Translated" program="map" contextTags="FPGA_ONLY" hidden="true" type="Control_Sets" file="spiloop_map.xrpt" label="Control Set Information" />
<view inputState="Translated" program="map" locator="MAP_MODULE_HIERARCHY" contextTags="FPGA_ONLY" type="Module_Utilization" file="spiloop_map.xrpt" label="Module Level Utilization" />
<view inputState="Mapped" program="par" locator="CONSTRAINT_TABLE" contextTags="FPGA_ONLY" type="ConstraintsData" file="spiloop.ptwx" label="Timing Constraints" translator="ptwxToTableXML.xslt" />
<view inputState="Mapped" program="par" locator="PAR_PINOUT_BY_PIN_NUMBER" contextTags="FPGA_ONLY" type="PinoutData" file="spiloop_par.xrpt" label="Pinout Report" />
<view inputState="Mapped" program="par" locator="PAR_CLOCK_TABLE" contextTags="FPGA_ONLY" type="ClocksData" file="spiloop_par.xrpt" label="Clock Report" />
<view inputState="Mapped" program="par" contextTags="FPGA_ONLY,EDK_OFF" type="Timing_Analyzer" file="spiloop.twx" label="Static Timing" />
<view inputState="Translated" program="cpldfit" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="EXTERNAL_HTML" file="spiloop_html/fit/report.htm" label="CPLD Fitter Report" />
<view inputState="Fitted" program="taengine" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="EXTERNAL_HTML" file="spiloop_html/tim/report.htm" label="CPLD Timing Report" />
<view inputState="Unknown" program="implementation" contextTags="FPGA_ONLY" hidden="true" type="HTML" file="spiifc_envsettings.html" label="System Settings" />
<view inputState="Translated" program="map" locator="MAP_IOB_TABLE" contextTags="FPGA_ONLY" type="IOBProperties" file="spiifc_map.xrpt" label="IOB Properties" />
<view inputState="Translated" program="map" contextTags="FPGA_ONLY" hidden="true" type="Control_Sets" file="spiifc_map.xrpt" label="Control Set Information" />
<view inputState="Translated" program="map" locator="MAP_MODULE_HIERARCHY" contextTags="FPGA_ONLY" type="Module_Utilization" file="spiifc_map.xrpt" label="Module Level Utilization" />
<view inputState="Mapped" program="par" locator="CONSTRAINT_TABLE" contextTags="FPGA_ONLY" type="ConstraintsData" file="spiifc.ptwx" label="Timing Constraints" translator="ptwxToTableXML.xslt" />
<view inputState="Mapped" program="par" locator="PAR_PINOUT_BY_PIN_NUMBER" contextTags="FPGA_ONLY" type="PinoutData" file="spiifc_par.xrpt" label="Pinout Report" />
<view inputState="Mapped" program="par" locator="PAR_CLOCK_TABLE" contextTags="FPGA_ONLY" type="ClocksData" file="spiifc_par.xrpt" label="Clock Report" />
<view inputState="Mapped" program="par" contextTags="FPGA_ONLY,EDK_OFF" type="Timing_Analyzer" file="spiifc.twx" label="Static Timing" />
<view inputState="Translated" program="cpldfit" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="EXTERNAL_HTML" file="spiifc_html/fit/report.htm" label="CPLD Fitter Report" />
<view inputState="Fitted" program="taengine" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="EXTERNAL_HTML" file="spiifc_html/tim/report.htm" label="CPLD Timing Report" />
</viewgroup>
<viewgroup label="XPS Errors and Warnings" >
<view program="platgen" WrapMessages="true" contextTags="EDK_ON" hidden="true" type="MessageList" hideColumns="Filtered" file="__xps/ise/_xmsgs/platgen.xmsgs" label="Platgen Messages" />
......@@ -38,7 +38,7 @@
<view inputState="PreSynthesized" program="platgen" contextTags="EDK_ON" hidden="true" type="Secondary_Report" file="platgen.log" label="Platgen Log File" />
<view inputState="PreSynthesized" program="simgen" contextTags="EDK_ON" hidden="true" type="Secondary_Report" file="simgen.log" label="Simgen Log File" />
<view inputState="PreSynthesized" program="bitinit" contextTags="EDK_ON" hidden="true" type="Secondary_Report" file="bitinit.log" label="BitInit Log File" />
<view inputState="PreSynthesized" program="system" contextTags="EDK_ON" hidden="true" type="Secondary_Report" file="spiloop.log" label="System Log File" />
<view inputState="PreSynthesized" program="system" contextTags="EDK_ON" hidden="true" type="Secondary_Report" file="spiifc.log" label="System Log File" />
</viewgroup>
<viewgroup label="Errors and Warnings" >
<view program="pn" WrapMessages="true" contextTags="EDK_OFF" type="MessageList" hideColumns="Filtered, New" file="_xmsgs/pn_parser.xmsgs" label="Parser Messages" />
......@@ -54,7 +54,7 @@
<view inputState="Current" program="fitting" WrapMessages="true" fileList="_xmsgs/xst.xmsgs,_xmsgs/ngdbuild.xmsgs,_xmsgs/cpldfit.xmsgs,_xmsgs/xpwr.xmsgs" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="CPLD_MessageList" hideColumns="Filtered" file="_xmsgs/*.xmsgs" label="All Implementation Messages (CPLD)" />
</viewgroup>
<viewgroup label="Detailed Reports" >
<view program="xst" contextTags="XST_ONLY,EDK_OFF" hidden="false" type="Report" file="spiloop.syr" label="Synthesis Report" >
<view program="xst" contextTags="XST_ONLY,EDK_OFF" hidden="false" type="Report" file="spiifc.syr" label="Synthesis Report" >
<toc-item title="Top of Report" target="Copyright " searchDir="Forward" />
<toc-item title="Synthesis Options Summary" target=" Synthesis Options Summary " />
<toc-item title="HDL Compilation" target=" HDL Compilation " />
......@@ -80,15 +80,15 @@
<toc-item title="Timing Details" target="Timing Details" subItemLevel="2" />
<toc-item title="Cross Clock Domains Report" target="Cross Clock Domains Report:" subItemLevel="2" />
</view>
<view program="synplify" contextTags="SYNPLIFY_ONLY,EDK_OFF" hidden="true" type="Report" file="spiloop.srr" label="Synplify Report" />
<view program="precision" contextTags="PRECISION_ONLY,EDK_OFF" hidden="true" type="Report" file="spiloop.prec_log" label="Precision Report" />
<view inputState="Synthesized" program="ngdbuild" type="Report" file="spiloop.bld" label="Translation Report" >
<view program="synplify" contextTags="SYNPLIFY_ONLY,EDK_OFF" hidden="true" type="Report" file="spiifc.srr" label="Synplify Report" />
<view program="precision" contextTags="PRECISION_ONLY,EDK_OFF" hidden="true" type="Report" file="spiifc.prec_log" label="Precision Report" />
<view inputState="Synthesized" program="ngdbuild" type="Report" file="spiifc.bld" label="Translation Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
<toc-item title="Command Line" target="Command Line:" />
<toc-item title="Partition Status" target="Partition Implementation Status" />
<toc-item title="Final Summary" target="NGDBUILD Design Results Summary:" />
</view>
<view inputState="Translated" program="map" contextTags="FPGA_ONLY" type="Report" file="spiloop_map.mrp" label="Map Report" >
<view inputState="Translated" program="map" contextTags="FPGA_ONLY" type="Report" file="spiifc_map.mrp" label="Map Report" >
<toc-item title="Top of Report" target="Release" searchDir="Forward" />
<toc-item title="Section 1: Errors" target="Section 1 -" searchDir="Backward" />
<toc-item title="Section 2: Warnings" target="Section 2 -" searchDir="Backward" />
......@@ -104,7 +104,7 @@
<toc-item title="Section 12: Control Set Information" target="Section 12 -" searchDir="Backward" />
<toc-item title="Section 13: Utilization by Hierarchy" target="Section 13 -" searchDir="Backward" />
</view>
<view inputState="Mapped" program="par" contextTags="FPGA_ONLY" type="Report" file="spiloop.par" label="Place and Route Report" >
<view inputState="Mapped" program="par" contextTags="FPGA_ONLY" type="Report" file="spiifc.par" label="Place and Route Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
<toc-item title="Device Utilization" target="Device Utilization Summary:" />
<toc-item title="Router Information" target="Starting Router" />
......@@ -113,7 +113,7 @@
<toc-item title="Timing Results" target="Timing Score:" />
<toc-item title="Final Summary" target="Peak Memory Usage:" />
</view>
<view inputState="Routed" program="trce" contextTags="FPGA_ONLY" type="Report" file="spiloop.twr" label="Post-PAR Static Timing Report" >
<view inputState="Routed" program="trce" contextTags="FPGA_ONLY" type="Report" file="spiifc.twr" label="Post-PAR Static Timing Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
<toc-item title="Timing Report Description" target="Device,package,speed:" />
<toc-item title="Informational Messages" target="INFO:" />
......@@ -124,22 +124,22 @@
<toc-item title="Timing Summary" target="Timing summary:" />
<toc-item title="Trace Settings" target="Trace Settings:" />
</view>
<view inputState="Translated" program="cpldfit" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="Report" file="spiloop.rpt" label="CPLD Fitter Report (Text)" >
<view inputState="Translated" program="cpldfit" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="Report" file="spiifc.rpt" label="CPLD Fitter Report (Text)" >
<toc-item title="Top of Report" target="cpldfit:" searchDir="Forward" />
<toc-item title="Resources Summary" target="** Mapped Resource Summary **" />
<toc-item title="Pin Resources" target="** Pin Resources **" />
<toc-item title="Global Resources" target="** Global Control Resources **" />
</view>
<view inputState="Fitted" program="taengine" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="Report" file="spiloop.tim" label="CPLD Timing Report (Text)" >
<view inputState="Fitted" program="taengine" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="Report" file="spiifc.tim" label="CPLD Timing Report (Text)" >
<toc-item title="Top of Report" target="Performance Summary Report" searchDir="Forward" />
<toc-item title="Performance Summary" target="Performance Summary:" />
</view>
<view inputState="Routed" program="xpwr" contextTags="EDK_OFF" type="Report" file="spiloop.pwr" label="Power Report" >
<view inputState="Routed" program="xpwr" contextTags="EDK_OFF" type="Report" file="spiifc.pwr" label="Power Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
<toc-item title="Power summary" target="Power summary" />
<toc-item title="Thermal summary" target="Thermal summary" />
</view>
<view inputState="Routed" program="bitgen" contextTags="FPGA_ONLY" type="Report" file="spiloop.bgn" label="Bitgen Report" >
<view inputState="Routed" program="bitgen" contextTags="FPGA_ONLY" type="Report" file="spiifc.bgn" label="Bitgen Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
<toc-item title="Bitgen Options" target="Summary of Bitgen Options:" />
<toc-item title="Final Summary" target="DRC detected" />
......@@ -147,20 +147,20 @@
</viewgroup>
<viewgroup label="Secondary Reports" >
<view inputState="PreSynthesized" program="isim" hidden="if_missing" type="Secondary_Report" file="isim.log" label="ISIM Simulator Log" />
<view inputState="Synthesized" program="netgen" hidden="if_missing" type="Secondary_Report" file="netgen/synthesis/spiloop_synthesis.nlf" label="Post-Synthesis Simulation Model Report" >
<view inputState="Synthesized" program="netgen" hidden="if_missing" type="Secondary_Report" file="netgen/synthesis/spiifc_synthesis.nlf" label="Post-Synthesis Simulation Model Report" >
<toc-item title="Top of Report" target="Release" searchDir="Forward" />
</view>
<view inputState="Translated" program="netgen" hidden="if_missing" type="Secondary_Report" file="netgen/translate/spiloop_translate.nlf" label="Post-Translate Simulation Model Report" >
<view inputState="Translated" program="netgen" hidden="if_missing" type="Secondary_Report" file="netgen/translate/spiifc_translate.nlf" label="Post-Translate Simulation Model Report" >
<toc-item title="Top of Report" target="Release" searchDir="Forward" />
</view>
<view inputState="Translated" program="netgen" hidden="if_missing" type="Secondary_Report" file="spiloop_tran_fecn.nlf" label="Post-Translate Formality Netlist Report" />
<view inputState="Translated" program="map" contextTags="FPGA_ONLY" hidden="true" type="Secondary_Report" file="spiloop_map.map" label="Map Log File" >
<view inputState="Translated" program="netgen" hidden="if_missing" type="Secondary_Report" file="spiifc_tran_fecn.nlf" label="Post-Translate Formality Netlist Report" />
<view inputState="Translated" program="map" contextTags="FPGA_ONLY" hidden="true" type="Secondary_Report" file="spiifc_map.map" label="Map Log File" >
<toc-item title="Top of Report" target="Release" searchDir="Forward" />
<toc-item title="Design Information" target="Design Information" />
<toc-item title="Design Summary" target="Design Summary" />
</view>
<view inputState="Routed" program="smartxplorer" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="smartxplorer_results/smartxplorer.txt" label="SmartXplorer Report" />
<view inputState="Mapped" program="trce" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="spiloop_preroute.twr" label="Post-Map Static Timing Report" >
<view inputState="Mapped" program="trce" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="spiifc_preroute.twr" label="Post-Map Static Timing Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
<toc-item title="Timing Report Description" target="Device,package,speed:" />
<toc-item title="Informational Messages" target="INFO:" />
......@@ -171,43 +171,43 @@
<toc-item title="Timing Summary" target="Timing summary:" />
<toc-item title="Trace Settings" target="Trace Settings:" />
</view>
<view inputState="Mapped" program="netgen" hidden="if_missing" type="Secondary_Report" file="netgen/map/spiloop_map.nlf" label="Post-Map Simulation Model Report" />
<view inputState="Mapped" program="map" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="spiloop_map.psr" label="Physical Synthesis Report" >
<view inputState="Mapped" program="netgen" hidden="if_missing" type="Secondary_Report" file="netgen/map/spiifc_map.nlf" label="Post-Map Simulation Model Report" />
<view inputState="Mapped" program="map" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="spiifc_map.psr" label="Physical Synthesis Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
</view>
<view inputState="Mapped" program="par" contextTags="FPGA_ONLY" hidden="true" type="Pad_Report" file="spiloop_pad.txt" label="Pad Report" >
<view inputState="Mapped" program="par" contextTags="FPGA_ONLY" hidden="true" type="Pad_Report" file="spiifc_pad.txt" label="Pad Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
</view>
<view inputState="Mapped" program="par" contextTags="FPGA_ONLY" hidden="true" type="Secondary_Report" file="spiloop.unroutes" label="Unroutes Report" >
<view inputState="Mapped" program="par" contextTags="FPGA_ONLY" hidden="true" type="Secondary_Report" file="spiifc.unroutes" label="Unroutes Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
</view>
<view inputState="Mapped" program="map" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="spiloop_preroute.tsi" label="Post-Map Constraints Interaction Report" >
<view inputState="Mapped" program="map" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="spiifc_preroute.tsi" label="Post-Map Constraints Interaction Report" >
<toc-item title="Top of Report" target="Release" searchDir="Forward" />
</view>
<view inputState="Mapped" program="par" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="spiloop.grf" label="Guide Results Report" />
<view inputState="Routed" program="par" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="spiloop.dly" label="Asynchronous Delay Report" />
<view inputState="Routed" program="par" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="spiloop.clk_rgn" label="Clock Region Report" />
<view inputState="Routed" program="par" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="spiloop.tsi" label="Post-Place and Route Constraints Interaction Report" >
<view inputState="Mapped" program="par" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="spiifc.grf" label="Guide Results Report" />
<view inputState="Routed" program="par" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="spiifc.dly" label="Asynchronous Delay Report" />
<view inputState="Routed" program="par" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="spiifc.clk_rgn" label="Clock Region Report" />
<view inputState="Routed" program="par" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="spiifc.tsi" label="Post-Place and Route Constraints Interaction Report" >
<toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
</view>
<view inputState="Routed" program="netgen" hidden="if_missing" type="Secondary_Report" file="spiloop_par_fecn.nlf" label="Post-Place and Route Formality Netlist Report" />
<view inputState="Routed" program="netgen" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="netgen/par/spiloop_timesim.nlf" label="Post-Place and Route Simulation Model Report" />
<view inputState="Routed" program="netgen" hidden="if_missing" type="Secondary_Report" file="spiloop_sta.nlf" label="Primetime Netlist Report" >
<view inputState="Routed" program="netgen" hidden="if_missing" type="Secondary_Report" file="spiifc_par_fecn.nlf" label="Post-Place and Route Formality Netlist Report" />
<view inputState="Routed" program="netgen" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="netgen/par/spiifc_timesim.nlf" label="Post-Place and Route Simulation Model Report" />
<view inputState="Routed" program="netgen" hidden="if_missing" type="Secondary_Report" file="spiifc_sta.nlf" label="Primetime Netlist Report" >
<toc-item title="Top of Report" target="Release" searchDir="Forward" />
</view>
<view inputState="Routed" program="ibiswriter" hidden="if_missing" type="Secondary_Report" file="spiloop.ibs" label="IBIS Model" >
<view inputState="Routed" program="ibiswriter" hidden="if_missing" type="Secondary_Report" file="spiifc.ibs" label="IBIS Model" >
<toc-item title="Top of Report" target="IBIS Models for" searchDir="Forward" />
<toc-item title="Component" target="Component " />
</view>
<view inputState="Routed" program="pin2ucf" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="spiloop.lck" label="Back-annotate Pin Report" >
<view inputState="Routed" program="pin2ucf" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="spiifc.lck" label="Back-annotate Pin Report" >
<toc-item title="Top of Report" target="pin2ucf Report File" searchDir="Forward" />
<toc-item title="Constraint Conflicts Information" target="Constraint Conflicts Information" />
</view>
<view inputState="Routed" program="pin2ucf" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="spiloop.lpc" label="Locked Pin Constraints" >
<view inputState="Routed" program="pin2ucf" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="spiifc.lpc" label="Locked Pin Constraints" >
<toc-item title="Top of Report" target="top.lpc" searchDir="Forward" />
<toc-item title="Newly Added Constraints" target="The following constraints were newly added" />
</view>
<view inputState="Translated" program="netgen" contextTags="CPLD_ONLY,EDK_OFF" hidden="if_missing" type="Secondary_Report" file="netgen/fit/spiloop_timesim.nlf" label="Post-Fit Simulation Model Report" />
<view inputState="Translated" program="netgen" contextTags="CPLD_ONLY,EDK_OFF" hidden="if_missing" type="Secondary_Report" file="netgen/fit/spiifc_timesim.nlf" label="Post-Fit Simulation Model Report" />
<view inputState="Routed" program="bitgen" contextTags="FPGA_ONLY" hidden="if_missing" type="HTML" file="usage_statistics_webtalk.html" label="WebTalk Report" />
<view inputState="Routed" program="bitgen" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="webtalk.log" label="WebTalk Log File" />
</viewgroup>
......
<TABLE BORDER CELLSPACING=0 WIDTH='100%'>
<xtag-section name="ParStatistics">
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=1><B>Par Statistics</B></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Signals</xtag-par-property-name>=<xtag-par-property-value>117</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Design Pins</xtag-par-property-name>=<xtag-par-property-value>398</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Conns</xtag-par-property-name>=<xtag-par-property-value>398</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Timing Constrained Conns</xtag-par-property-name>=<xtag-par-property-value>361</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 1 CPU</xtag-par-property-name>=<xtag-par-property-value>5.4 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 2 CPU</xtag-par-property-name>=<xtag-par-property-value>6.4 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 3 CPU</xtag-par-property-name>=<xtag-par-property-value>6.7 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 4 CPU</xtag-par-property-name>=<xtag-par-property-value>8.3 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 5 CPU</xtag-par-property-name>=<xtag-par-property-value>8.5 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 6 CPU</xtag-par-property-name>=<xtag-par-property-value>8.5 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 7 CPU</xtag-par-property-name>=<xtag-par-property-value>8.5 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 8 CPU</xtag-par-property-name>=<xtag-par-property-value>8.5 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 9 CPU</xtag-par-property-name>=<xtag-par-property-value>8.5 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 10 CPU</xtag-par-property-name>=<xtag-par-property-value>8.5 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 1</xtag-par-property-name>=<xtag-par-property-value>3.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 2</xtag-par-property-name>=<xtag-par-property-value>7.5</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 3</xtag-par-property-name>=<xtag-par-property-value>3.3</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 4</xtag-par-property-name>=<xtag-par-property-value>4.5</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 10</xtag-par-property-name>=<xtag-par-property-value>7.6</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 50</xtag-par-property-name>=<xtag-par-property-value>3.8</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Signals</xtag-par-property-name>=<xtag-par-property-value>121</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Design Pins</xtag-par-property-name>=<xtag-par-property-value>419</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Conns</xtag-par-property-name>=<xtag-par-property-value>419</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Timing Constrained Conns</xtag-par-property-name>=<xtag-par-property-value>384</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 1 CPU</xtag-par-property-name>=<xtag-par-property-value>5.0 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 2 CPU</xtag-par-property-name>=<xtag-par-property-value>6.0 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 3 CPU</xtag-par-property-name>=<xtag-par-property-value>6.2 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 4 CPU</xtag-par-property-name>=<xtag-par-property-value>7.8 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 5 CPU</xtag-par-property-name>=<xtag-par-property-value>8.1 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 6 CPU</xtag-par-property-name>=<xtag-par-property-value>8.1 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 7 CPU</xtag-par-property-name>=<xtag-par-property-value>8.1 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 8 CPU</xtag-par-property-name>=<xtag-par-property-value>8.1 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 9 CPU</xtag-par-property-name>=<xtag-par-property-value>8.1 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 10 CPU</xtag-par-property-name>=<xtag-par-property-value>8.1 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 1</xtag-par-property-name>=<xtag-par-property-value>2.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 2</xtag-par-property-name>=<xtag-par-property-value>6.5</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 3</xtag-par-property-name>=<xtag-par-property-value>0.7</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 4</xtag-par-property-name>=<xtag-par-property-value>2.5</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 10</xtag-par-property-name>=<xtag-par-property-value>6.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 50</xtag-par-property-name>=<xtag-par-property-value>3.5</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 100</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 500</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 5000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 20000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 50000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 100000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>IRR Gamma</xtag-par-property-name>=<xtag-par-property-value>1.0114</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>IRR Gamma</xtag-par-property-name>=<xtag-par-property-value>1.0154</xtag-par-property-value></TD></TR>
</xtag-section>
</TABLE>
......@@ -28,7 +28,7 @@
</files>
<transforms xmlns="http://www.xilinx.com/XMLSchema">
<transform xil_pn:end_ts="1331171506" xil_pn:in_ck="241267088593728842" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1331171506">
<transform xil_pn:end_ts="1331173742" xil_pn:in_ck="241267088593728842" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1331173742">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
......
......@@ -134,16 +134,23 @@
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="ipcore_dir/spiloopmem.xco" xil_pn:type="FILE_COREGEN">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="2"/>
</file>
<file xil_pn:name="../../../../../../src/spi_base/spiloop.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="3"/>
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="3"/>
</file>
<file xil_pn:name="../../../../../ucf/atlys/spiloop.ucf" xil_pn:type="FILE_UCF">
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../../../../../test/spi_base/rc-bytes-writereg.txt" xil_pn:type="FILE_USERDOC"/>
<file xil_pn:name="../../../../../../test/spi_base/spiifc_writereg_tb.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
<association xil_pn:name="PostMapSimulation" xil_pn:seqID="107"/>
<association xil_pn:name="PostRouteSimulation" xil_pn:seqID="107"/>
<association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="107"/>
</file>
<file xil_pn:name="ipcore_dir/buffermem.xise" xil_pn:type="FILE_COREGENISE">
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
......@@ -387,8 +394,8 @@
<property xil_pn:name="Run for Specified Time Translate" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Safe Implementation" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Security" xil_pn:value="Enable Readback and Reconfiguration" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Module Instance Name" xil_pn:value="/spiloop" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="work.spiloop" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Module Instance Name" xil_pn:value="/spiifc_writereg_tb" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="work.spiifc_writereg_tb" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
......@@ -406,7 +413,7 @@
<property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/>
<property xil_pn:name="Slice Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Specify 'define Macro Name and Value" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="work.spiloop" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="work.spiifc_writereg_tb" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Map" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Route" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Translate" xil_pn:value="Default" xil_pn:valueState="default"/>
......@@ -457,7 +464,7 @@
<!-- -->
<!-- The following properties are for internal use only. These should not be modified.-->
<!-- -->
<property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="Module|spiloop" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="Module|spiifc_writereg_tb" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_DesignName" xil_pn:value="spiifc" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_FPGAConfiguration" xil_pn:value="FPGAConfiguration" xil_pn:valueState="default"/>
......
<HTML><HEAD><TITLE>Xilinx System Settings Report</TITLE></HEAD>
<BODY TEXT='#000000' BGCOLOR='#FFFFFF' LINK='#0000EE' VLINK='#551A8B' ALINK='#FF0000'>
<center><big><big><b>System Settings</b></big></big></center><br>
<A NAME="Environment Settings"></A>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='5'><B> Environment Settings </B></TD>
</tr>
<tr bgcolor='#ffff99'>
<td><b>Environment Variable</b></td>
<td><b>xst</b></td>
<td><b>ngdbuild</b></td>
<td><b>map</b></td>
<td><b>par</b></td>
</tr>
<tr>
<td>PATHEXT</td>
<td>.COM;<br>.EXE;<br>.BAT;<br>.CMD;<br>.VBS;<br>.VBE;<br>.JS;<br>.JSE;<br>.WSF;<br>.WSH;<br>.MSC</td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
</tr>
<tr>
<td>Path</td>
<td>C:\Xilinx\13.2\ISE_DS\ISE\\lib\nt64;<br>C:\Xilinx\13.2\ISE_DS\ISE\\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\PlanAhead\bin;<br>C:\Xilinx\13.2\ISE_DS\ISE\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\ISE\lib\nt64;<br>C:\Xilinx\13.2\ISE_DS\EDK\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\EDK\lib\nt64;<br>C:\Xilinx\13.2\ISE_DS\EDK\gnu\microblaze\nt64\bin;<br>C:\Xilinx\13.2\ISE_DS\EDK\gnu\powerpc-eabi\nt64\bin;<br>C:\Xilinx\13.2\ISE_DS\EDK\gnuwin\bin;<br>C:\Xilinx\13.2\ISE_DS\common\bin\nt64;<br>C:\Xilinx\13.2\ISE_DS\common\lib\nt64;<br>C:\Program Files (x86)\Atmel\AVR Tools\AVR Toolchain\bin;<br>C:\Windows\system32;<br>C:\Windows;<br>C:\Windows\System32\Wbem;<br>C:\Windows\System32\WindowsPowerShell\v1.0\;<br>C:\Program Files (x86)\Java\jdk1.6.0_26\bin;<br>c:\python27;<br>C:\Python27\Scripts;<br>C:\Program Files\SlikSvn\bin\;<br>C:\Program Files (x86)\GnuWin32\bin;<br>C:\Program Files\Vim\vim73;<br>c:\Program Files (x86)\Microsoft SQL Server\100\Tools\Binn\;<br>c:\Program Files\Microsoft SQL Server\100\Tools\Binn\;<br>c:\Program Files\Microsoft SQL Server\100\DTS\Binn\;<br>c:\Program Files\TortoiseSVN\bin</td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
</tr>
<tr>
<td>XILINX</td>
<td>C:\Xilinx\13.2\ISE_DS\ISE\</td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
</tr>
<tr>
<td>XILINX_DSP</td>
<td>C:\Xilinx\13.2\ISE_DS\ISE</td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
</tr>
<tr>
<td>XILINX_EDK</td>
<td>C:\Xilinx\13.2\ISE_DS\EDK</td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
</tr>
<tr>
<td>XILINX_FOR_ALTIUM_OVERRIDE</td>
<td> </td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
</tr>
<tr>
<td>XILINX_PLANAHEAD</td>
<td>C:\Xilinx\13.2\ISE_DS\PlanAhead</td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
</tr>
</TABLE>
<A NAME="Synthesis Property Settings"></A>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='4'><B>Synthesis Property Settings </B></TD>
</tr>
<tr bgcolor='#ffff99'>
<td><b>Switch Name</b></td>
<td><b>Property Name</b></td>
<td><b>Value</b></td>
<td><b>Default Value</b></td>
</tr>
<tr>
<td>-ifn</td>
<td>&nbsp;</td>
<td>spiloop.prj</td>
<td>&nbsp;</td>
</tr>
<tr>
<td>-ifmt</td>
<td>&nbsp;</td>
<td>mixed</td>
<td>Mixed</td>
</tr>
<tr>
<td>-ofn</td>
<td>&nbsp;</td>
<td>spiloop</td>
<td>&nbsp;</td>
</tr>
<tr>
<td>-ofmt</td>
<td>&nbsp;</td>
<td>NGC</td>
<td>NGC</td>
</tr>
<tr>
<td>-p</td>
<td>&nbsp;</td>
<td>xc6slx45-2-csg324</td>
<td>&nbsp;</td>
</tr>
<tr>
<td>-top</td>
<td>&nbsp;</td>
<td>spiloop</td>
<td>&nbsp;</td>
</tr>
<tr>
<td>-opt_mode</td>
<td>Optimization Goal</td>
<td>Speed</td>
<td>Speed</td>
</tr>
<tr>
<td>-opt_level</td>
<td>Optimization Effort</td>
<td>1</td>
<td>1</td>
</tr>
<tr>
<td>-power</td>
<td>Power Reduction</td>
<td>NO</td>
<td>No</td>
</tr>
<tr>
<td>-iuc</td>
<td>Use synthesis Constraints File</td>
<td>NO</td>
<td>No</td>
</tr>
<tr>
<td>-keep_hierarchy</td>
<td>Keep Hierarchy</td>
<td>No</td>
<td>No</td>
</tr>
<tr>
<td>-netlist_hierarchy</td>
<td>Netlist Hierarchy</td>
<td>As_Optimized</td>
<td>As_Optimized</td>
</tr>
<tr>
<td>-rtlview</td>
<td>Generate RTL Schematic</td>
<td>Yes</td>
<td>No</td>
</tr>
<tr>
<td>-glob_opt</td>
<td>Global Optimization Goal</td>
<td>AllClockNets</td>
<td>AllClockNets</td>
</tr>
<tr>
<td>-read_cores</td>
<td>Read Cores</td>
<td>YES</td>
<td>Yes</td>
</tr>
<tr>
<td>-sd</td>
<td>Cores Search Directories</td>
<td>{&quot;ipcore_dir&quot; }</td>
<td>&nbsp;</td>
</tr>
<tr>
<td>-write_timing_constraints</td>
<td>Write Timing Constraints</td>
<td>NO</td>
<td>No</td>
</tr>
<tr>
<td>-cross_clock_analysis</td>
<td>Cross Clock Analysis</td>
<td>NO</td>
<td>No</td>
</tr>
<tr>
<td>-bus_delimiter</td>
<td>Bus Delimiter</td>
<td>&lt;&gt;</td>
<td>&lt;&gt;</td>
</tr>
<tr>
<td>-slice_utilization_ratio</td>
<td>Slice Utilization Ratio</td>
<td>100</td>
<td>100</td>
</tr>
<tr>
<td>-bram_utilization_ratio</td>
<td>BRAM Utilization Ratio</td>
<td>100</td>
<td>100</td>
</tr>
<tr>
<td>-dsp_utilization_ratio</td>
<td>DSP Utilization Ratio</td>
<td>100</td>
<td>100</td>
</tr>
<tr>
<td>-reduce_control_sets</td>
<td>&nbsp;</td>
<td>Auto</td>
<td>Auto</td>
</tr>
<tr>
<td>-fsm_extract</td>
<td>&nbsp;</td>
<td>YES</td>
<td>Yes</td>
</tr>
<tr>
<td>-fsm_encoding</td>
<td>&nbsp;</td>
<td>Auto</td>
<td>Auto</td>
</tr>
<tr>
<td>-safe_implementation</td>
<td>&nbsp;</td>
<td>No</td>
<td>No</td>
</tr>
<tr>
<td>-fsm_style</td>
<td>&nbsp;</td>
<td>LUT</td>
<td>LUT</td>
</tr>
<tr>
<td>-ram_extract</td>
<td>&nbsp;</td>
<td>Yes</td>
<td>Yes</td>
</tr>
<tr>
<td>-ram_style</td>
<td>&nbsp;</td>
<td>Auto</td>
<td>Auto</td>
</tr>
<tr>
<td>-rom_extract</td>
<td>&nbsp;</td>
<td>Yes</td>
<td>Yes</td>
</tr>
<tr>
<td>-shreg_extract</td>
<td>&nbsp;</td>
<td>YES</td>
<td>Yes</td>
</tr>
<tr>
<td>-rom_style</td>
<td>&nbsp;</td>
<td>Auto</td>
<td>Auto</td>
</tr>
<tr>
<td>-auto_bram_packing</td>
<td>&nbsp;</td>
<td>NO</td>
<td>No</td>
</tr>
<tr>
<td>-resource_sharing</td>
<td>&nbsp;</td>
<td>YES</td>
<td>Yes</td>
</tr>
<tr>
<td>-async_to_sync</td>
<td>&nbsp;</td>
<td>NO</td>
<td>No</td>
</tr>
<tr>
<td>-use_dsp48</td>
<td>&nbsp;</td>
<td>Auto</td>
<td>Auto</td>
</tr>
<tr>
<td>-iobuf</td>
<td>&nbsp;</td>
<td>YES</td>
<td>Yes</td>
</tr>
<tr>
<td>-max_fanout</td>
<td>&nbsp;</td>
<td>100000</td>
<td>100000</td>
</tr>
<tr>
<td>-bufg</td>
<td>&nbsp;</td>
<td>16</td>
<td>16</td>
</tr>
<tr>
<td>-register_duplication</td>
<td>&nbsp;</td>
<td>YES</td>
<td>Yes</td>
</tr>
<tr>
<td>-register_balancing</td>
<td>&nbsp;</td>
<td>No</td>
<td>No</td>
</tr>
<tr>
<td>-optimize_primitives</td>
<td>&nbsp;</td>
<td>NO</td>
<td>No</td>
</tr>
<tr>
<td>-use_clock_enable</td>
<td>&nbsp;</td>
<td>Auto</td>
<td>Auto</td>
</tr>
<tr>
<td>-use_sync_set</td>
<td>&nbsp;</td>
<td>Auto</td>
<td>Auto</td>
</tr>
<tr>
<td>-use_sync_reset</td>
<td>&nbsp;</td>
<td>Auto</td>
<td>Auto</td>
</tr>
<tr>
<td>-iob</td>
<td>&nbsp;</td>
<td>Auto</td>
<td>Auto</td>
</tr>
<tr>
<td>-equivalent_register_removal</td>
<td>&nbsp;</td>
<td>YES</td>
<td>Yes</td>
</tr>
<tr>
<td>-slice_utilization_ratio_maxmargin</td>
<td>&nbsp;</td>
<td>5</td>
<td>0</td>
</tr>
</TABLE>
<A NAME="Operating System Information"></A>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='5'><B> Operating System Information </B></TD>
</tr>
<tr bgcolor='#ffff99'>
<td><b>Operating System Information</b></td>
<td><b>xst</b></td>
<td><b>ngdbuild</b></td>
<td><b>map</b></td>
<td><b>par</b></td>
</tr>
<tr>
<td>CPU Architecture/Speed</td>
<td>Intel(R) Core(TM) i5-2400S CPU @ 2.50GHz/2499 MHz</td>
<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
</tr>
<tr>
<td>Host</td>
<td>WIN-MEQROG0RPAS</td>
<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
</tr>
<tr>
<td>OS Name</td>
<td>Microsoft Windows 7 , 64-bit</td>
<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
</tr>
<tr>
<td>OS Release</td>
<td>Service Pack 1 (build 7601)</td>
<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
</tr>
</TABLE>
</BODY> </HTML>
\ No newline at end of file
<HTML><HEAD><TITLE>Xilinx Design Summary</TITLE></HEAD>
<BODY TEXT='#000000' BGCOLOR='#FFFFFF' LINK='#0000EE' VLINK='#551A8B' ALINK='#FF0000'>
<TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='4'><B>spiwrap Project Status</B></TD></TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Project File:</B></TD>
<TD>spiifc.xise</TD>
<TD BGCOLOR='#FFFF99'><b>Parser Errors:</b></TD>
<TD> No Errors </TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Module Name:</B></TD>
<TD>spiloop</TD>
<TD BGCOLOR='#FFFF99'><B>Implementation State:</B></TD>
<TD>New</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Target Device:</B></TD>
<TD>xc6slx45-2csg324</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Errors:</B></LI></UL></TD>
<TD>&nbsp;</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Product Version:</B></TD><TD>ISE 13.2</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Warnings:</B></LI></UL></TD>
<TD>&nbsp;</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Design Goal:</B></dif></TD>
<TD>Balanced</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Routing Results:</B></LI></UL></TD>
<TD>
&nbsp;</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Design Strategy:</B></dif></TD>
<TD><A HREF_DISABLED='Xilinx Default (unlocked)?&DataKey=Strategy'>Xilinx Default (unlocked)</A></TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Timing Constraints:</B></LI></UL></TD>
<TD>&nbsp;</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Environment:</B></dif></TD>
<TD>
<A HREF_DISABLED='C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav\spiifc_envsettings.html'>
System Settings</A>
</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Final Timing Score:</B></LI></UL></TD>
<TD>&nbsp;&nbsp;</TD>
</TR>
</TABLE>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='6'><B>Detailed Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=DetailedReports"><B>[-]</B></a></TD></TR>
<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD><B>Generated</B></TD>
<TD ALIGN=LEFT><B>Errors</B></TD><TD ALIGN=LEFT><B>Warnings</B></TD><TD ALIGN=LEFT COLSPAN='2'><B>Infos</B></TD></TR>
<TR ALIGN=LEFT><TD>Synthesis Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD>Translation Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD>Map Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD>Place and Route Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD>Power Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD>Post-PAR Static Timing Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD>Bitgen Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
</TABLE>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='3'><B>Secondary Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=SecondaryReports"><B>[-]</B></a></TD></TR>
<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD COLSPAN='2'><B>Generated</B></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav\usage_statistics_webtalk.html'>WebTalk Report</A></TD><TD>Out of Date</TD><TD COLSPAN='2'>Wed Mar 7 17:56:17 2012</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav\webtalk.log'>WebTalk Log File</A></TD><TD>Out of Date</TD><TD COLSPAN='2'>Wed Mar 7 17:56:22 2012</TD></TR>
</TABLE>
<br><center><b>Date Generated:</b> 03/07/2012 - 18:05:09</center>
</BODY></HTML>
\ No newline at end of file
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6
###5104:XlxV32DM 3fe7 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###3740:XlxV32DM 3fff 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###4788:XlxV32DM 3fff 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###5344:XlxV32DM 3fff 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###5004:XlxV32DM 3fff 1374eNrVm0uO5DgOhi/TBzAlWZYjUFdJQC8DtelazLLQdx89fsq0M5yZrurCYBYJhmlJpGSanx5Oo9LzL2XmhzPP78Y9psdfFJcHuflZrtdyXYTf1b6qw369Pp8/KJha7p//0FZ/qJ/fVHYP455vLhat9l5o16JNTeuE1hdtbtpZaEPRbrVdWbZYK+r1+c8/Qc/xQQ8qzj9o/fk3pemhl/j8i0J6qNz75RfZL6Um7heu6Sk7qCgfOqhoKx20vo2D3ZpYpr22K6UX2q/Xeq3266XUXpZWzcUu0rirqy8u76Vjve5GVlvFjzW3K787pEuT333cr229Fo3WJ+T3RrWrT2iqPj+Dbr7VIZ5+9lFS6vk3xfmxmjps+WGKyy/jYT2MGwJBBMZyCgwnAqPYrWOkpV0NuzPskoHd8rzWuffatTGgnLgVd/beoxV15f3R6fX5cTSfnNZnpwPM6TtO+5PTmod8+jWnZ3b64Ot68lXzANMdX+PZV/S4vJwfh4c7hcfy/HreKHbD2fsIu9uXvf+PnpeeJeqDK9rn27IUtdpmVvs9KSlTI3KuWqpB2Cp1uUKGKt9W29qw3EZsbfjQDA5tT2zL1MoaoS1lbU1h2myspe6c9VW9uL1wzWzND+/qrY1EOyUVLqq2npTQlrS5UGtmaBU3Q2gmb/ut2owJrZlJaEszpnljstCWDpW/2iES2tIh09pd/D6sMLmy50qMOCdxlbTQjoQ/r0I74DCPxtc+WmsvHMVjKIV9e5TJCG1p2LcmNi20xQnfW0isDb3hkhpbb0TL6E1ovSnRad17yiRQZntNGTpShhK/Dv87uGwMF1xXl75OGX+ijP2QMvmCMi5+kkb8KY3YV+P2Lk+nC7i4dCP3uekCLsWpPwGX7QIuLtxxWl3ApVj7JafdyWl7cNrRBWVKOzecNleUsTcp89kk5EgZp68os9ygjB15ZTtQhvOYUy8pk0CVrUsH6jgtKcNZr4zQThnL2bREqKCME9pBGTvSepKUcdNeGNltO1OmtvOeMlW7U2bgIXMz6R1l8kvKZEGZWZbdKbMI7aCMU/uwdpOOzpRx6hVlnBKUsbPQDspY5rcjSRnrxGN4T5mqfU+Zqh2UsSMY9IEyTrSB3mimzDxVyiyPEreeKZM7ZUouf0WZio87a5m69jngZufIh9yZT9yxd7ij6cSdeObOj/6Kffd9ten9iUKhq+MBNgcYzQyjwh5nr9hj/mxyma8gdGeF465WOE7d9P6c2O3J++Xo/XJFo1tLnfmKRtO/g9D5iFBzRaMbax6VaqQvL2i0MhXKxGt32nanl9PIf94X+37ZJufEs8hW+/x53jNbH11okX0NUuE8ZuhGJjI3EhkvLspz7jUs5+CikRSTlscGzjwJ7Z498976zqWdHHJ9slNGrGV2aJSXdkCjv8HQwuuZveZZetHseFNbFK0zC9UWROsDKFZqB3wGqKqWQaUSb0aVGGnbTt2LVah3TK+r0DI19GSFdlBDRaFlavTJgum965ODBZMGs08S9j22WteWumuzswntUrS+QaXEc3F9PSxdNkDFDKj8MLqH77+yT/bDxneIMZ8gxl4ubX649VdJs12S5l/aTlPRXMBmHrCxf2I7LeUL2JgbsFFxuYDNvHzi/fwJbD7x/mrpY8wd790FbGZ35f3vrNeyuoCN0XecXi+WPvP6yZD/3gZbvlr6GPX1pY/ifF27MdKWmWox3bU9X+c2qUW2DIyJfJgL70ujEswtyyUsjcpIdymWRjotwvTIuSEJ7ci5Lgst51wzLewmw7AYqm66lkADc6FoBUIDn11EeXbhktAytlXOQjsWJJlzfXksA32mcUF3bfcmtRXZm21dCEzuJBeZOllhYeA5MLzKSIpF36BXNTxWiGERWqa2dtJJprbKE2vNzmczaXadFzHFbnW9vAm1KS8qjTUfTwuadsDYb0ILGJdorYF0olafQRZqza+pdQGrX2LUx2hyH6LpQyI1Yv1hIvkrIvmvZUX/lR23bK74c2fmHcMVf8JX+XNnhZbnK+xMd5yOV9iJnwzwrXxtL2ijtzu+0hVt0p+lzXJBG51/iTYkaRM5+xCn8UXQJvIWWl5e0yYb0AVz7DLSXS6SNkGYHrSJq9C+oA1J2gR2k88TiqGdNpE3u4pW0CaO/B5f0ia+pE18SZsgaePZm8DezII2kbel8nygjRcWBm0iL+WyOdBmFYYHbaIW2he0CS9p4yVtVnadj5myeUkbL2njhHbQJkoDO21K2L6jDYE29qM10m/sv/3WGun/Y2l09aXBnG/mnnv7cNldoEmnO5lTX6Fp+7eWRgen1ws06XjH6avtt3n+Iyui6YpR4Y7T9opR9s8yiq4Y5X+JUVYyauacxTtYmeSKiDfyMl0wyoFJ+BShjHSXJBllhOl9RSS1LxhlJaMMu8kbisWQWBHxTl7RyhURcVvzS0bNLxk1v2SUlozK7I1mb1bBqLFDl9cDo7SwsDOKT/nr92KCUUkY3hm1Ce0LRumXjFKSUYld50OqYvcVo+S3FikK7c4oqd0Z5efKqPTwJTWAUdqAUdhS9/a4O314WbYjqmhLx5dly3taaodXH4BqskdQTcsRVNN8BtV3J6DY0LRTZqqevCfYlI8Em+KRYFMQBMPB0bQfI8FWTWjiPInM80A4mplwANuBZ1T6WUb+/HFBeVd7nhhbbViSLvk6y4XtlOUm6q0s4+iZs5z+4DE6c8pxuuU0zbl0Oh1lTADAwrmUTxLPRxkfb04uVx8JyITqz3uSE+Pgzq5e2y0yshWHLvCuHjkxUO4wFAenz0OlDkPVtnsOdtZux/IKtsBzH6q7QG9BdRgMzB+0vTEYLfbk8yREzVhlb6fHKdH3G0dUr47bVHvAB2/QJ3XTm/l5fHL2tJr/4KBStYGVz414pgTb7vld97a0x0Ppo0vtG752UsPMCFkcF/GXeHXU9w84llVomXZqWYR20G6xQjto59OubXCofdD+cODj8XWIx1ZoKbGD3jNwi7Z0otC7AcozikqlcQ6kYhZanAMVrfChal0/sdqEtrTgGpc8L02ruf1kcFAy9CUksOzVPpbl7eq+t2mOykzF0D7+aDX3E0Fvxd0Vd8cpohfd2AetTUv4WK8NR6ij1DrOX0C2jo8jtcUILU+SFJ+kNu34wGPRQjuO6jyf0Pr2qcu3msprR+utMdfir2p8xkGl591kf9iS9eveWFevhw/k47SfSeqVeIS26nu/u2+dzuLugrvl0ZplzFP4rsfdMfXwTty1uFsCwLQDRnwVU+c2/U5o2h4WdbLWn1XoE5MyLSmJrvzx0llhWuLeL50/XhqXpPzuQz7wy/IZi5Ip5otrQjFrC0mOghfacfo83rbQX9c2h6vHrj0O3sqP57d6RaHFneltv5UfZWDMBL3eegS/lR8lMLY89JH1serj0DvWu6p33P5CaL+8Nt/qFfQ4wH8rP4rebkOfWZ+rPg99Yn2q+jT0kfWx6uPQB9bXMLBh6D3ra1hZP/Qr6+vLbNfRL8/98rVfXJ7aGslPP78ZqsuL0on6o6S3LmdIA6m7NAkydjkHSN9lhj5Dn1dIB7lAwk6GnQw7GXaygiTIqcuUIeFHgr0Eewl+JNhNsJtgN8Fu4v7BzgY7G+zweGTYy7CXuX3cT/A7we8N9zfY32B/g31ig8SWiE2r2fKPmX8Y/oHmI7ob0d0IMxFmIsxENBXRUkRDkduBFxG2I5wJ6G5AdwPsBdgL6F6A3QC7AXYD7AbYTbCTYCfBTsTwRdiLzR5xHBLikBCHhDgkxCEhDglxSIhDQhwS4pAQh4Q4JMQhIQ4JcUiIQ0IcEuKQEIeEOCTEISEOCXFIiENCHBLikBCHhDgkxCEhDglxSIhDQhwS4pAePB4Z9jLsZW4f9xP8TvB7w/0N9jfY32Cf2CCxJWLTiEPiOCSOQ+I4JMQhIQ4JcUiIQ0IcEuKQEIeEOCTEISEOCXFIiENCHBLikBCHhDgkxCEhDglxSIhDQhwS4pAQh4Q4JMQhIQ4JcUg1DvmzKJp/4t1T/BKOFxVRrMzG46L4xxhEWGhl9KzrF9pN+oqjuR0ZNFl5XqXbumz3rev3i2z3i2z3i+z1J9SfUH969G/UZt23sFpOrsDausX2bhA8iF3im9j2cRlXmXoVx1UmNJ66xPe5ea9Ru1drLOh4725xCzX7LlGDGdfIvYbBMzTcobXLPlNr3wCzV5Voa3h4REO9bkMRu0RH2jfGXMX1KqMjDqOYukRHlKix9BrckXrdxn+CXMan01zD9hrckXrdnhzc6x1p/zLDNeZaY9k7MuOZxy75iayiiulVRkcMwiV1if/LsaKG7jVGRzQCbYJcxn8acQ3Va4yOICT7E4m9I2NTtdVA4FdZtzzbpHvsZ7YS7G/uJdqW5thjbCV4EFIv0dYzYyuvleDkHnuJtps3DiBrCYv3vcp6PAg/giiBTFBlLQE/vCiBHFFlLQE/VlECWavKWgJ+OFEC+azK+lEM/FhECWSIKmsJ+GFFCTyvKmsJ+KFFCeSUKmuJ5kdfxJLtRVaAeK3xb9SDONRWjFS7sZXlbh2PMpRNKoXrDdJ2qTOk67IkjX5/6nJdoUc7lsvhfoCdiHLlGTZZ1k79W8q5xhQlTEInzFJoQgi3FVANZQRozYHt/cl48wLeWYW3fUOi4BQTkJwU0lq93/9BosmmL5L1rV7/b4Ym2/0i2/0iW+5NpufeIlvuLdLhdUAPqPegDlOr4edeo8j+3x2LeJW2DdP++qRqESyGxbu0ZawARpEw1stcJGExMIqksSjnIhHrglGkpQ5t+x5Im4b5/rBbctEtS7Ze9K+FdW1s6SXD1ku0pKJbdmwlawpp/V57eNSVcZV9w0NtogXTa7Yko1u27C04tODRAgKtb460003ES9vt0P1pVtmehu5Ps7Uc4JtCr6D36FWzPE3Dsg+w6GAxyn++rHFnkRDbDsD4P9MWoXgN8OXzvN9ZUae3ti7iThnl/wJ2THnB###2756:XlxV32DM 3fff aaceNq9Wkmy3DYMvRIHiEO7fIwcgJqW3qSy+uW7BxNbTMoxWyFLi2926xF4BIiBLdPl9ZXh288/3Rle5uW+vrscX+f67btftldZGYkVWV8hIxLSCxIj6UJiECScjOQLySqTNkLwwYXs376709cVlAvhFaCMrqDK4FxewWLqCrYL4RUgoitwF5JVRlaAD96IWOqFx5cTEY9IPF5QEFn3F2QZQ6EZe9WKM4IivBK/xks2WkGi0TGy7HLJ8lpJ68my5ZJNhyBplzEfLBsu2ay8Yg0+uBDyZ3LiT5ry1lpUW9lktMaw2ngJsytoCrtiMyqM6tgVWxZX4KiuqJuPM4Ii4orNX7LsCkTYFTSKK/Ily64greyKLVyy7ApE2BU4qivKJZuVV1yRXIOQzA7qii1fWotqY1fgWF2xXsLsCpoirthEmNRJVIBGBVRXaBTSjKCIuuK8ZCUqQKMCqiv2S1aiAmpU2EtWogI0KqC6wl+yWXnZFfThLVtUVvYe3gbDJSx7D2pwTTePGWnZXWUh/QTV1WLiWTbEYMJxksa6c2EXKbD2dW4inTgnY82RcDRTlCCRSQ5qcoIRAgcOP1gWT1X8bMQPFZdsqBsdbTPl1Ck7m5CrCUkZ0Kgghp8VOqp1QSB7krfy13eLRUMg52iPccopO4pVJBVxCI8ZQ3qXseDe2MIVkOtQYV9m2l/4Yg0pi6bqbdaMo0RCocqxfImuTXWpURBl5DXQSFHlDkpfa79kOVmWVZMfNNOj00JyauZrvoGGP+OUXaemgwYSaLSIPKi8BhotqehSKOCLpe9kbk0FWomal3SmOKRYdT89MSrrCTEkG77E6UFcFYo636rzV3X6oU5mVkNRWVQyyrbxtuDI6yVNq2pyMnKy0c4X1XyIZmEytEmo2VJEbKo5q+ai4yljUgbG/SFrx5HXiCOv2Sd9nkQOR/aL1/bnzabbjx8oeYWyUh1qzKaLL9zjdnEYxzY7ikStiq4yRv3OQUeizGYp+1exqwZ6VM/xTpM9Se3RkTWRPZvak9SeXe051B5eHDczDk5S7VS1bgLoGKo9SllWpYzqwlMpi4wlK3VUaqWsLhYjjVRQ3EzQHOWy4xM1lV1ykYOT0kRPALWb185cG0hti7XF1XZVtEbXXlHrfq3hHD5uN8pIp49dzlssgaME2iYSdA7b9dRVZGQ8qFuD2kZnoiJjDdRUU4F7kdHGyd5KYn12nJqLFDf296b+rMG/qd80vTiUc5aoyln2Ief3GiRkj6IhSzu7aZhptQTdsexkZ2QNzl2JmjRBc00f3fMqKWF1vMMKNF0kDNM7DKWs+CR1llrB6hXRGZJOhl1GU0szFZRUczdocY/cPf3WzNRQ5GyhMWpf5OLtj2vmpgYkNUAMNBSstA252QadUfSAmmr4blqLjIavhnPWGlXqlvMxwNEqo2gEr4mktUdmek0wI2PWqk8aaE22hFq1Ty0xRjUZTZ2SanUyEgSZD07SpGpHCNrOMutsA1F1ntxli54pma02TekNpWmBRZHaLLNqL9ozRFdudGmwciK4ox6s/PEuC1J2DJUdmlGPT1m7IQW6el6OMpserpm3HnJW7WZY5X7+KEXOSj/stlCM/2U3eGGoI3BcAEZZA5yNhG+A1TRAK7HaBlhawDVAaAHfALEFoAFSCywNkFsgNEBpgdgAawukBthaIDfA3gKlAY4WWF/2VewXPzHf/rD4qwMPrPnLex/xr0CGY4HlBLc4sLAv55LwOSzZOx+NgQgBnx8eawBscOL3DJ6lUAYzg57/Tkf+UIdHZFnSW3pBaY+xZugJuDrivzirP/9X8xruE1Hw1odr9f/S8su5S4QNjzTOu99aLRqq1eaXVhNzlcSnC8Di3T88l5oZ5z81oLyn2bIGWo3Mw+e4TpSKaDNpc7CgFCoEO8i3oG+6fACo2YAlBwyx0ZI/Z3MTbTNdtnFPxhtsZpjt8qT9TzaLfEDYsHX+Jp8ZjpQP8uDN54btcx9F5sU3al96s/nfxQp7fnzv8g22Ucs+55IuMpYFd9jScIzEG2xxkM3esm2079gbXMtoPN7ggok9oM823N9ucLmJ/abPZif2mz6bGc60z2PEDlcRf6Ma2+EqAjf2zQ5XkdD00T7b+Ok1fnBKqGzLcIWEG2yjlcTd4PIT+1qfzQ1n2x228ZNWusFmJnbRHlue1td6TOMnkfAxV5zYQXtcYVr/7DEtE7tnjwsmdrMel5/Yy3pcbmIn62bycB5/Xn/Hf3t+eBrGX/LjO9bmcp/NDceH+cyPzGYnerLPdnPfsDC5T/Tjn0dd413yg18SzZs59z+sMR9bM/N9zn++raJxQoQvN7hm7lKPy07rVj2mee9UfvvWlN7QTjifxRtsZuJpsMc2fkKzNzw5fkrzN7jixErbZwsTu0ifbZnYIftsMOzJOxHpJ54N+2xu4umwzzZeSe5Eyfgvvc+jZMY7oztso5Uk3uAaf++83GAL0zqb6XItE/9vtc8GE/ton81P7Gx9Njets/W5xn/5mRtsZmJn67HliX2tx5UmdrUeV5zY03pcYWJH63EtE/tZj6tfPeDheyDw6D0QePQeCDx6DwQevQcCD98DgYfvgcDD90Dg4Xsg8OA9EHj0Hgg8eA8EHr0HAo/eA4FH74HAg/dA4MF7IPDoPRB48B4IPHoPBB69BwKP3gOBR++BwKP3QODReyDw6D0QePQeCDx4DwQevQcCj94DgUfvgcDdeyB/A9ar3EA=###1348:XlxV32DM 3fff 52ceNq1WVtyLSEI3JIKiKwnj/0v4TpzUklOJQaUvh+pSk1maATtxg6/cqNKnZsoizR5Z2abz6yU+axx5VchNmEWmn+VQUQsRo342xvv/MLvrPPL+S6/ze9pPpu/CVMt5fHWAmkkkRr1MJYmsYQkjNWTWBxGkvSqNIzF6W7FdwZl9yCNMFbLdmujhjWJVa+3g1glva76iUV/YUkBdOz7WfbRWnp/lFgdb7QKrKSPttm3SUwtEn/+0IzV0n0Sv0/zqcyvZsBdvHs1Jbyamt4HX1xbllh6rSO9w2UDC9klD6vC1MpDyjKSfSK1P7mvzng1XUHdQCtptBFGy09odaOS+SmNNrAUyLQ+WgeqiI8mQIX00ThdyZ0dScDZ0EdrwOnQR8szyc4uKemzHd8lNX/X20LLMoluYGm6jrKB1mHKVlwsSfesbaAxUEd9NAIqm4/WYMrmY+VvfmUDrQCVzUMzoK55WAOoah6WAjXNw+pARfOwBKhnHtYV6X5zPvm64z0jKff5/O3es09Y1zcfipiLQfe+yeZBgRg/v5P7u5/POZTTz+/qIt5jms3WqUBzqqF4d0bX33/P6SgjXmZk4KorNB7dmoSL5622u3viwRe5GJqOQO7u7ovTVxer6umcfGbqAGbqAGb6vTZ9URsO5YRkpg5gpr3+387iQbwVrxA0Wt06t3VRdXQXFRrP0srAUD1uLs/5+ingnMiNJ89VerjnzjcKrovcdXmbY+Tl89rl/X9kUveyPzqnAuAOBfOZgrlItjpW3RlCNidVBfRgpGP4LOvvJwmtJcc74nKzhM4UckcKVM0ErGYCULNIDIOuS8DxWnqFFbA3yT0h6p7U7q5FwQykAAZSAAMpYEbYUw8J1WZDjTZWeMpuCmUjP6MKzcfnMwVP1grmJYVO6pvdDMX7u5/j8C64qj+D75bY+Yu2WD1zM8nOTgzgBt8L4FC/EHkgfbsB8JMGQOUGQOUG2Oke4Gl3AO6EA+x0j7TTPcAqNcAqNf7DiUGp1KPfOac7EkPTETynm5YMf+Z0R3LymCm2rgHIg45qc+p003KnnDFTrE4F2n/PXVrW7ICj7lii/EL1+p/yES891+jMDdjvm0LjWVoLGKrAvoc7ABPkAE+1tta7gM9Ny8nvtEZ27HMb2A82AItUMLNVgJdvYJ/bwC6TAeZvA8zfBnaIDOoQGeD2ZeAbgUEdJwNP4gbwvw3gfxvYZzKo/20A/9vS/veD6XL+9642MSAnf/6ugPm7pueIiJJIqB6ZCet5JWcsRkt9p6PZuiZ97t18/Em9gqfsCuWf3fwM281QvIzPvb4JRnzuf/uYkG4=###2216:XlxV32DM 3fff 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###2524:XlxV32DM 3fff 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###2504:XlxV32DM 3fff 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###2416:XlxV32DM 3fff 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###2872:XlxV32DM 3fff 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###2480:XlxV32DM 3fff 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###2848:XlxV32DM 3fff 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###984:XlxV32DM 940 3c0eNq1Vrtu3DAQ/Bl/AJcrPtUYSZPCRYzkB/gQSwMu4ka4f89QtqGxcClzvgWlnSH3yfVlybL/kbZks95erBvZQPEizWWJ6wHYCXiovzBTO5nLRsxiD4AUy2VrcbS18tZwMuVQxJPpFmaW65mVmMLMfmFudKYOYm7pwhxCflpiDntlLsR0zHRXZiAmJ3jEr0y1nfzUk6lKxtQzcDGmSsY0MfNqTAsxGzPrhRnIeuQzizmBSjnQZmkHhayddsTKwHYCiYrpuMqeKuJqIoAaxTWy4SlZPlCnh0JApO4M5K5PSgAF6DdKXqDk+UGtFjYGyN1oTiAY2hEpwMDdUAIBbNxRHGFQEh1FHh11RRUG6KiqDFCuKrkbPblb6C7FQsZLJ6DSnSobA56AxgAZL9QlyVBpS2SAGq4kBijAQrkqXHPPegrDU+AlURiV9ZRbtzHAk+wM7/b2W8LR1Pgz+680MHYhyJ+omjlYtGG8/hA01quEsdbFTLbJuIc71Nv6ICHNhqyLvCOGkD6v8yfjVm0K9yiDKHrM1itlMUyR5R5FTsr8PD5+35tIdq4tkuqA4ttednkvaFZMiZprhXRFbA0TH+BHMpDWxQDtkAFJubYAgb5hZxPIBmmQghOg78A79B38jn19nox9m4csEPtpxR6dbuECqoJvWh+sa1nr9CAclQLaTMtjZr6QWqHu2ZqsW7yHoW6xZOvdFZznjVxsugKCbSiccxbScVUjGsRnLx0jLmaPigV4EjCiA7ooGslRbI4+5YjpliTmFDQnpKMU+3m61e3okeXwR5AbazG/7Iy0zqGKNc3/VU/Wxaz7E+a67q9BV/wIQBNj8Ton/n1A/gXMnp7Wx4d1ZCLbarOd4+hqffkv1tFnP/dnVNisz+gmQdXNfHlFL863ZmqOWPA65mLfl/mDp8yHMNM1H9LM2XxoR0HxsM1Y8ID6I6AVlv4CUJ6QXA==
\ No newline at end of file
###5084:XlxV32DM 3ffd 13c4eNqlW21vo7gW/ivRaj9uO/gFA+OrShhoBk0C2ZB2Wl1doTRJZ3I3bao0s9vR/fP32IYADU5I+iH2OY/PO8bGlP5OGfofsvjv48Xfy9fl+vlzD13S3u+YX+AHfvF9tX6YrvL1y9bjF8vn7ev212oxW1R0b/m66F289N5m7HX1Ru2L2et3gukF7l3807tYrb8vZ1K7t3587F2sV70fy+8/ehfbHupdvG17Vu9is/i+fN0uNvn858tqOZtuIQYtvenRXi0ADT4V/XKj+5eiX80Kfv3PooDWvdeX5Wq9fsmfpi+Xz7N5yV8+f6/ol9ljj0A+G2hWsymvYgbgSTbrFePaLjAvG2Tz1qChYlsK+pB2szi7rIvYjVHNmlHN+Sdxc90f3tzld/ie2vzTyA+RozuLFASmJWGXBCsIUsqQUsZ2C4KVdphTEG4p7BYItgqD2PYglLE/FIjJSBCrsUSz2SAOIuBg1Kmx7B7XWafJunusW2O9xii19li3yXo1FjWFUVMYNYXxPbGaLGqyeFZn7dogacoCi2ssuyekydImWzflNEed5qjbtOw2LbtNXa8Rsk3vGeIW4ohwdGnNoP/D+gNR7w/qMcLJJZIN3Oy2hG0H4BpjW1bJeCVDSwZh7n5Obyajm8nn1WL++i/ns3WFuM8s7vdm083mV2/2Y7p87r1up5vt8vl775/l9kcx8vTzrffb06/sZRk/zj4Np/N5vn0bLp78+XyTww32b4T+k/eTMCf5OpejxMrBVz779S/r6jf6cLqL2frn8zbfzGpOCmuY+5CLj+FH4EfhZ8OPMe6H4di3ih5uGt1bJbFDcEmQQhYXfcnToreLvrTtFL1b9J7uReFTFB5E6VOgHYJLovAhCp+i5AufovApCp+i8CkKn8Ij3B8MKPdhrYG0/4TfHeICc/ABHfygKgKqIqAqgtlcDNLgq6O7u3vYPsSwP879yWQci5tJlHEKiLQl/vS4XMTUSiblbuJBmE/8cT+CJvjiApLlcXKdzrhYrF571iWBvSFdKO6z1Mpl86D5wB+P72GRVcz1dZ6NC/qLPw7hJlZ0nIo8Bu+LGpuAkceKh3kEgKeBwc3ErkjmalIuhYVxvdaJb9E4r8gionHUhygKV1k0kFnnCPEA8wCKF0DxAiheAMULoHgBgyIEfpjfxtG3/DYaZ3Ga2FzlBaORVPkSBV9zee8mWZRP7kcR4UGcwMBXIAZfqWx81QpowSHAKfGgGQ7jySQKp0BmE6iyGEQgAcmC8p8OD8aRD8PA3DEe3MdJPJnzYP30siv7VLOq7l5BQ71kzIqu0p8ViFpkBg0Opg74gH0IOvhB9iFkH0L2Iaw3YWzJBskGy4ZQaHxLtbC3ytbSXcFh3RHdUd3ZumO6c3Tn6s5TtrQa1rawtoULUNvC2hbWtrC2hbUtrG1hbUvLE22LIIVR1dqqZap1VOuqVukJlZVQvoXOSqCCw7ojuqO6s3XHdOfoztWdtqjVdFZCZyVwAWpbOiuhsxI6K6GzEjorobMSWl5nJXRWQmUlVFZCZSVUVkJlJTwpOvIt3SHdYd0payOhx3TOIx3uSMhrDBMV2lTVBKaUarFq5QyBNSe8m/Ho+jodT/JBdBsNCI8SXzZizvuDVPiDfJD248AC3Qafv0xnf+WysG2wZcBN8tiAEwNODbhtwJkBdwy4a8C99jIYwseGMmBDGbDJjqEM2FAGbCgDNpQBG8qADWXAhjIYwiSGMhBDGYihDMRk31AGYigDMZSBGMpADGUgXjtODflSQ77UkC815EsN+VJDvtSQLzXkSw35UsNlN7i1DWWwDWWwDWWwDWWwDWWwTfEYymAbymAbymAbymAwzwxlYIYyMEMZmKEMzFAGZigDM8VpKAMzlIEZymAw4xjK4BjK4BjK4BjSdQzpOoZ0Hac9fEO2riFM1xCma7haLm136zW3WwRPfw3+ErbUPahFCu9DZB+i+5C9D7F9yNmH3H3I24PwfvR4P3q8Hz3ejx7vR4/3o8f70eP96PF+9GQ/LrIfF9mPi+zHRfbjIvtxkf24SEtc3uM7xHkPuO+B9xsUKuY+QTzGPLbgl3hcnkny63gQuRzOHZ/kMc7TlDzBTRWpD2+OouGURgDNokk+jIYCTmjqTJqLOAnjpP+peJ/2KYbz0Jc4Gssz533uD/ppkCYZPOVQLod2J9d8JI9k2SRKJvlonI7yDAYSeXKVYoVVdShDLjcZhZNPc0jJMwXGySQaJ1CE0QAOk0k/glOrxJM01Ie9QnkcXUfjKAkidVJkfJD6YRQ6XB5WP8kXEZrAJUFKgpaErQhWCrNSmJXCrBRmNWEGB7OhP8qDYTiIkyhPRxM4oWZTBQ7T8GYQzRU9iYdRNvGHowfFFkdZUJ6+uDyxLn0bDE6ByrGmMU/gvJdgFxpiyQZPZVM+UAFNH2RTPleDAhz1k5K3vB2NK5I5PIkm39IxnIuTNIkQTxlPLxl6m2OewqxKoaApnENTOGWkcAZN4QwKM8jjIwLXwA+iEC5uSZfpMj7yg69RSLh6fQrt+H1FYDqPwqyYbvI1VTE5kNeOyysqLe3GlMMhTLTHGniT+f2oYfo2CFpN13Bl+r2OdD3sj9GDwsMUJrQ+/+c3yU0WhfkoTjLtGgqYj6EmYGq2A+RcnGquH+ZxqEVH4bC81FBXhcRJ7mdZ3E9ymAdFGBKM7uAugjmrZ7U2rN561ItyGwUqelQNS3fZfRLUVerJlip2bVhHlMu7rahxAx/DyiFDEjdZHN49lHTiD2WKX/MgHY4mfv9B00k2gekKeyNwYaQyS/rDPEtvxkGk4eux38/ySZqLCJYzuF8fJQpStbsCADmJJl/GN7BWwO0uoPxf5TuZXL3ugaVgBG68tiH9TlWmsllv1/LdCtU30WVqN1HRigYlShuoeuUD5Ye1qA2+tEwDqGk+bHFqtwZotwZoVwE20Da7rNUua7XLdnYfK9C9lLuInGFNRD6z7GG4BSMtGK27LTC4aHVJ71K+RbtMEf8T5mr15uxTRTI+jvpB5Be9oHwMk0e1AlQWr4vtVHc57E7yLqmY8m0Fz2CRy2QPi1xGIK4sHsIsHsC0lHNfvU6cKjCMbuMg8rh+Zwf7QUWSiqSzHSmLWXFsIJfNghOoIis7orIjKjuiYUc07ASVnaCyE1R2AlqR9qwiG0ZYNSCtz3ecel8MC3zJlzN6pxpW/sPKf1j5Dyv/YeU/bPgPK/9hw/+1I9QTjH4vCltxReKKJBVJK9J+qMjr61nFVJ6BY9UAUxtuyV1f74RE5VZUbkXlVlRuReVW1N2KhltRuRUNt6LmNqjcBpXboHIbVG6Dym1Qdxs03AaV26DhNqi5DSu3YeU2rNyGlduwchvW3YYNt2HlNmy4Da+vXZ7Bw8HETxjcfWOHZz+mL4vcKglYULNfr8Hqr1nRq79mjOBOrrP6ryO4icUKJA2wePmx4JPdXi9310fFF3uV2m7pewSeLKIxuNCwXAfU7gRPG49NbAyztw4M4e6p8dkoUk9Mk2KXj4cjqEU80VYmjceDSbkPqwAGscgo/xbJV/3QItVi1RLZCoULhQuFCzgb3E35XfhF55q5ioasAo1mX/xRVKDSx4OiygDm/C6GJ7a7i7tQ9KxL1MsmN5rwsyCOIYk7WCfHkfrzk9rFGb+bP+TxHOzMn3KxfJ4vn79LOeCG0/+uN7eLjfxGooSWzxXE7/GMP8CFelo85d8Xz/nfLMd/9O6Wq+XzW0/66fUXz4vNdLve9BCBEyCfPc1hcMG4/maCcvmZAOHL14XD1Z9TrauCQCWBS4KUBC0JuyTYlcd3f40tMOfqQRG5lacwkwoG1RlcZ0idoXXGrjOszjiKIS4/94+6cIuep4pA91y36HxVfL4qOV+Vnq9qn6/Kzld1zld1z1f1zpxOq59bORUfz9eF9eQ2t87z/rbeSO/Tc3XRh5TR1flRf0QXf0CXfECXfkDX/oAu+4Cu8wFd9wO63hUmvMO3NLBzH5WCWdrFGOokhTtJkU5StJOU3UmKdZJyOkm5naS848XXKxSedhLTC9lRm3rNwnYHMdRVDl11cttRDHcTI93EaDcxu5sY6ybmdBNzu4l5V+ihJvb08w0e7ON8GGcpPAc+Osg1jRLzEMWP74Y2M7Hcxs/zxdu/cbWwyBEvV29LTtXAJ2sQRMipGnn2zSJ4X+vXdqFXyf/opNMsVhWVX+g6+RqhQ0q4RYkdUyItSvYxJdqiRI8p2S1K5JgSa1HCx5ScFiUklfYu7et2ul1IeUWUyupCYTV/iHWqxhkq+OS48MlO8OlxYYym71S2b+XERujAID40SPYjqQbf3y/EMxT1mAo+XYUg9LinUq5raygPso5KoKMSUJvFYQlyROCoBXJMgB4TsI8JsGMCzjEB95iAh3Bd4PXnw+6alb3EiFs73tsnKpRfnJ2nR+sZ6PfmpUI6zqkFS87jMYH6U1e7hNwrMH4vJQNYbDP5SbqShEVx31SLEMJeB6ny1f/0BNkTDJ8iS06QtU+QZSfIuvvXcbd4SkHYXLB1VOK4CKk/WhlE1HRwjontLgrrJkm6CtKugk5XQRc1J/fPlTwZILIHonYUXbUYaAVxG0jaQNoG2m0gawOdNtBtA+ExuXbJ5VNLMPgqt+L603MNLj+pPTRqHxyVX6w1RsvHJDRvx3cfOR8et9Hs0Dg9PGzXr6wczjIV07QNLT+vPjBIDw2y2t2cP1s28ereNSKvIWLv0bL878RtL18+/12/kjW0Dr9sFn/nry/LYPVX/SLV4PJj4UOj9OBoI5lyNMtwTefI+USetU+QRidJw004bZOWl0c6xgcGy6nYRQh1EaIYHRXCHWRIB5kuvlgHGbeDjHegxnC50IHB3c7RRaaDEOngjXaQcTrIuB1kDpUGHyoN7lAa3GX24S6lwR1mFu4wa0oZB3kmmdw6MIYOjOH60qbP37fT1XJe32Hq8DtTO1w+0hwYQ/Wtoza225geDgzT+iJevSOQDwgLw8Duv2jmBwWIwTI2Wd5dd8dguRTABsvEZJlc7f6Tp12TmjTp1e5/fdo1bZOmvZvqBk0mNf8PgNFCHw==###3532:XlxV32DM 3fff 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###4260:XlxV32DM 3fff 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###4920:XlxV32DM 3fff 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###4940:XlxV32DM 3fff 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###4412:XlxV32DM 3fff 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###2504:XlxV32DM 3fff 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###2756:XlxV32DM 3fff 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###1600:XlxV32DM 3fff 628eNqtmk1y2zAMha9EgoAkO+foAVLXXnbXVSZ3L8TIjuxYBiC8dDpxZYoffwA8Sn1y4cr6I8S1jaVw4xOLTHySi0yN2iCH1lq9/0Y/XXjkg1478Fn/TY17m1P/LIl+qLVSZNI/F+ZG2n7+hufv5jHyn95fa9z707YsfGqVpX/+2V/b7EPHee1DxqUPsvt4mM1364uI6Cpod2VzfTZX5TpjHZt+v7lKa0ZuPH2dPz///pbTsRyHj7/1REfit3/1VI/09queypGIP7SDob27YfUpbOjXrT7uWz8bsgSmPV8965Yy96ne3TfdguerhSyB9Ky1bv4q1Nat55Chh9DQnx+BObcQod66xWawBCaZQVwfgvjM50YLzww6qWbA1eDKP0uiaifiMu6tZKZeosyC0Nf6dR/7y5OsyhNr++sotI3MsUF346FVi4f+vsaiV/t8+n70dnpdc07vGueZyLpAD5u86xrieXtXqt0Eod5KzR8tNW0pNcNdqRnOH3rH+wta78lZHiNZthUllIoSWkVJXWZ/Ptbje/3o0y5f0+bC0zzxUW95fxnYc+kbedDr540Ek80Eu/bBG8r04/py7XH6fLcZZG7DY7nmF9sgSzGei5anULxei8HsY/d69k+/fySdZzyZ1J1l6Jq6tJW6elX0Ll1ozvJEW5k8rRMHKV8JnqLNQ/bTCDi3YtLyKzkGaCVN41CJz8dljFfSkeLIgxuP0vMjV2R+87Lzm2609ipWbgKb27tDgJadmZ+lbdNZEKFNwGOVTRuTtBqaW/bIWAMsycZjgMVADbBpaX0LsAioNzatAvXGppV0pvljpKarSAtU45quIhzYt5quIsNKR23akF5Jz4PnlSbpCskBWraSUIDVgLpm0yidbRFa/qQ1BWgFqKIW7QDTNYuUP4kMbtYIVFCLNcD00yIJUD0tFgPVzGI1oJZZLAIqmZnJ6Tz219/8s6fzNKxP8vkdW+eyTaN0fBTfOnZaBa6kTQvumxYm8vSvf5v2lVdJx5PE6s0c7ZhNcc8G+T5n823V/BsQ4RJgIXfJYlWYWlkk3DuVl29N5ze0gPPZGKAV4GnQouVPaDWwkvlTWguwRmCltWkDUEVsmgAV0qZxeiUjEdmAZ0ObRsDToU3LV5JIlOSf9PxRgnhnFKFlK8kYYOXfO0uANsCUrZgsAf7fqk1joI7atAZUNptGMGWzWfknvxKgFaCyWbQDUNcs1gRUNYs1AjXNYg1ARbNYAtQzi5W1jOF8ID4eygfio6F8IPG5ZXwgXhrGB+KloXwg3rhE+UC8kYLygXitmigfiI+H8YF49w7jA/HRMD4QbxZgfCBxO2/GB+KjoXwgThrEB+KMR4gPJK4BGR+Is2pBfCBxvcn4QOJ6k/GBeDMN4wPx1keMD8SrbBgfiI+G8oF4VxLjA/FWSIwPxBmTEB9IXNcyPhBvtmF8IF4axgcSV9H9PpCoru33gXjXEOEDiSvofh9IVD/3+0Di6rnfBxJXs/0+kLiW7feBxJVsvw/Em8cIH4h3XhgfSDyXMz4Qb3xgfCDxlcz4QJ7SYD4Q7z6hfCDbs0H4QNa9/we6fkGq###1360:XlxV32DM 3fff 538eNq1WlF23CAMvBIgydjXaZvc/wjF3qTdzRrDSOOPJPu89sxIwAgUFyua9Y996m/91KqbSvv50CIi2q62z6aSUnrc165nWbRYVbNin/vf/d6U2rUHUnveVE32b9cDZWu/y9Md71wGcJUgV2Ob5spBrvmoUpBp+8dUekyq7Y7ckHI4gxVgS2G2dZqt5SHIloFMNmVBNgG4anQuSgbYlmhkkgA2C8+RBWDTcCaRGSnh2JBZUsLjhsQWdxJklqTw2p6fJTnsJAKxRZ2kAlw1nEcD2BZaZUtDLguPWQHYlFhHx2xCrGxjtkKrbGOuHI4sAWyJWNlGbBuxro24VmJVG3FVYk0bcS3EijbiMmI9G3Ht7nHc2a78R9w//XpjrLq07z+OufvCuT/xVRk5WHLMI5YuAbDen7fj+ffrCml8fz53cB+7XlYe0y0aM4R7KNy/PdcYUqhdhdtNo1NvwX3cz8c9zcITmg7n0MOPYhg1jCDnq+IHxtnqzZ2olrCmjuOBca0EHeLKzdLJjU5pCjsamKdEHX89ruN4Pb8RKlqG1m3uZJ09ipWKt9EqiN5S38u577nqsN2kUc5xn/DkNXsmNnYtY+Tph4badqZ69Jm3dod9KcmYetc6FoK3GNnvjOxVAo1YPt9ruPF06AxCqINCqIPj+WRTsTj8qBNJ7qgw1wj5Z6T49unXitqE0W5V2MJZKtCc6WFs1LiMjFfCEWbCfJThqrDh6lyGsRjZdYzgOkZwHfPuD9wVw6ZyA1QgIEKvoxl1vz1WlKl6xn5m5N02ps/I+jbuaE7hXY/n6jwf9vKv5PMmd88lkKszTiWufdPgtOT1inG/QKfGj6GD2ePb3wpiddvnscbddkSXAFjhntQ0rr/bjuQx3aIxQ7ixbvu1Qn+33Ts69RZcbCXGq+I3WqTbPo9Rwwj9bvszBqPbPq+p37dC4loJOsSVG7zbfo2Hn/WRPCXq+Pc7WNd46O7fh5ahdYv2IryjWKl4G62C6C31fdxtn6/DBmn82N+uUOt2PnQwDkiH/fsZVof9WQPWYe+od61dIfiJkT3OyP7E6rD78HToBkKofUKofZEOOzAngUjQs931CPX9a3HheSuckCucECpcpNvui8vIeCUcYSbMTRmukEi33VeDlKBp7EBGcKBIt91XPWwqN/Fu+1mEXnczqhv5u+0+PWM/M/Ju28i+ZNS+AqvbPj+eq/N8iHbbvedN7v5LIFdnnEqi3faz05LXKyLd9vkT9JyOu96oHWkTCOvHSewFPY6SnHE9zyn4fa1r9rf/q0AZmH46hf/nlafeY/HrF2fOLcRqEytUQgpSWMFF5v8Cgomhhg==###2512:XlxV32DM 3fff 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###2636:XlxV32DM 3fff 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###2780:XlxV32DM 3fff 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###2564:XlxV32DM 3fff 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###2736:XlxV32DM 2da0 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
\ No newline at end of file
......@@ -10,7 +10,7 @@
</top_modules>
</db_ref>
</db_ref_list>
<WVObjectSize size="29" />
<WVObjectSize size="30" />
<wvobject fp_name="/spiifc_tb/SPI_MISO" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">SPI_MISO</obj_property>
<obj_property name="ObjectShortName">SPI_MISO</obj_property>
......@@ -131,6 +131,11 @@
<obj_property name="ObjectShortName">rcByte[7:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb/uut/txBitIndex" type="array" db_ref_id="1">
<obj_property name="ElementShortName">txBitIndex[2:0]</obj_property>
<obj_property name="ObjectShortName">txBitIndex[2:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_tb/uut/txBitIndex_reg" type="array" db_ref_id="1">
<obj_property name="ElementShortName">txBitIndex_reg[2:0]</obj_property>
<obj_property name="ObjectShortName">txBitIndex_reg[2:0]</obj_property>
......
<?xml version="1.0" encoding="UTF-8"?>
<wave_config>
<wave_state>
</wave_state>
<db_ref_list>
<db_ref path="C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/spiifc_writereg_tb_isim_beh.wdb" id="1" type="auto">
<top_modules>
<top_module name="glbl" />
<top_module name="spiifc_writereg_tb" />
</top_modules>
</db_ref>
</db_ref_list>
<WVObjectSize size="30" />
<wvobject fp_name="/spiifc_writereg_tb/SPI_MISO" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">SPI_MISO</obj_property>
<obj_property name="ObjectShortName">SPI_MISO</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_writereg_tb/txMemAddr" type="array" db_ref_id="1">
<obj_property name="ElementShortName">txMemAddr[11:0]</obj_property>
<obj_property name="ObjectShortName">txMemAddr[11:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_writereg_tb/rcMemAddr" type="array" db_ref_id="1">
<obj_property name="ElementShortName">rcMemAddr[11:0]</obj_property>
<obj_property name="ObjectShortName">rcMemAddr[11:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_writereg_tb/rcMemData" type="array" db_ref_id="1">
<obj_property name="ElementShortName">rcMemData[7:0]</obj_property>
<obj_property name="ObjectShortName">rcMemData[7:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_writereg_tb/rcMemWE" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">rcMemWE</obj_property>
<obj_property name="ObjectShortName">rcMemWE</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_writereg_tb/debug_out" type="array" db_ref_id="1">
<obj_property name="ElementShortName">debug_out[7:0]</obj_property>
<obj_property name="ObjectShortName">debug_out[7:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_writereg_tb/Reset" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">Reset</obj_property>
<obj_property name="ObjectShortName">Reset</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_writereg_tb/SysClk" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">SysClk</obj_property>
<obj_property name="ObjectShortName">SysClk</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_writereg_tb/SPI_CLK" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">SPI_CLK</obj_property>
<obj_property name="ObjectShortName">SPI_CLK</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_writereg_tb/SPI_MOSI" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">SPI_MOSI</obj_property>
<obj_property name="ObjectShortName">SPI_MOSI</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_writereg_tb/SPI_SS" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">SPI_SS</obj_property>
<obj_property name="ObjectShortName">SPI_SS</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_writereg_tb/txMemData" type="array" db_ref_id="1">
<obj_property name="ElementShortName">txMemData[7:0]</obj_property>
<obj_property name="ObjectShortName">txMemData[7:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_writereg_tb/SPI_CLK_en" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">SPI_CLK_en</obj_property>
<obj_property name="ObjectShortName">SPI_CLK_en</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_writereg_tb/fdRcBytes" type="array" db_ref_id="1">
<obj_property name="ElementShortName">fdRcBytes[31:0]</obj_property>
<obj_property name="ObjectShortName">fdRcBytes[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_writereg_tb/fdTxBytes" type="array" db_ref_id="1">
<obj_property name="ElementShortName">fdTxBytes[31:0]</obj_property>
<obj_property name="ObjectShortName">fdTxBytes[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_writereg_tb/dummy" type="array" db_ref_id="1">
<obj_property name="ElementShortName">dummy[31:0]</obj_property>
<obj_property name="ObjectShortName">dummy[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_writereg_tb/currRcByte" type="array" db_ref_id="1">
<obj_property name="ElementShortName">currRcByte[31:0]</obj_property>
<obj_property name="ObjectShortName">currRcByte[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_writereg_tb/rcBytesNotEmpty" type="array" db_ref_id="1">
<obj_property name="ElementShortName">rcBytesNotEmpty[31:0]</obj_property>
<obj_property name="ObjectShortName">rcBytesNotEmpty[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_writereg_tb/rcBytesStr" type="array" db_ref_id="1">
<obj_property name="ElementShortName">rcBytesStr[80:1]</obj_property>
<obj_property name="ObjectShortName">rcBytesStr[80:1]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_writereg_tb/uut/regAddr" type="array" db_ref_id="1">
<obj_property name="ElementShortName">regAddr[3:0]</obj_property>
<obj_property name="ObjectShortName">regAddr[3:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_writereg_tb/uut/regReadData" type="array" db_ref_id="1">
<obj_property name="ElementShortName">regReadData[31:0]</obj_property>
<obj_property name="ObjectShortName">regReadData[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_writereg_tb/uut/regWriteEn" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">regWriteEn</obj_property>
<obj_property name="ObjectShortName">regWriteEn</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_writereg_tb/uut/regWriteData" type="array" db_ref_id="1">
<obj_property name="ElementShortName">regWriteData[31:0]</obj_property>
<obj_property name="ObjectShortName">regWriteData[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_writereg_tb/uut/rcByteValid" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">rcByteValid</obj_property>
<obj_property name="ObjectShortName">rcByteValid</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_writereg_tb/uut/rcByte" type="array" db_ref_id="1">
<obj_property name="ElementShortName">rcByte[7:0]</obj_property>
<obj_property name="ObjectShortName">rcByte[7:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_writereg_tb/uut/state" type="array" db_ref_id="1">
<obj_property name="ElementShortName">state[7:0]</obj_property>
<obj_property name="ObjectShortName">state[7:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_writereg_tb/uut/state_reg" type="array" db_ref_id="1">
<obj_property name="ElementShortName">state_reg[7:0]</obj_property>
<obj_property name="ObjectShortName">state_reg[7:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_writereg_tb/uut/command" type="array" db_ref_id="1">
<obj_property name="ElementShortName">command[7:0]</obj_property>
<obj_property name="ObjectShortName">command[7:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_writereg_tb/uut/rcWordByteId" type="array" db_ref_id="1">
<obj_property name="ElementShortName">rcWordByteId[1:0]</obj_property>
<obj_property name="ObjectShortName">rcWordByteId[1:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/spiifc_writereg_tb/uut/rcWord" type="array" db_ref_id="1">
<obj_property name="ElementShortName">rcWord[31:0]</obj_property>
<obj_property name="ObjectShortName">rcWord[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
</wave_config>
......@@ -196,7 +196,7 @@ output [0 : C_NUM_INTR-1] IP2Bus_IntrEvent;
// Mem0: Memory buffer storing data coming from master
buffermem mosiMem (
.clka(SPI_CLK), // input clka
.clka(Bus2IP_Clk), // input clka
.ena(1'b1), // input ena
.wea(mosiMem_wea), // Always writing, never reading
.addra({mosiMem_addra}), // input [11 : 0] addra
......@@ -212,7 +212,7 @@ output [0 : C_NUM_INTR-1] IP2Bus_IntrEvent;
// Mem1: Memory buffer storing data to send to master
buffermem misoMem (
.clka(SPI_CLK), // input clka
.clka(Bus2IP_Clk), // input clka
.ena(1'b1), // input ena
.wea(1'b0), // Always reading, never writing
.addra({misoMem_addra}), // input [11 : 0] addra
......
......@@ -30,6 +30,10 @@ module spiifc(
rcMemAddr,
rcMemData,
rcMemWE,
regAddr,
regReadData,
regWriteEn,
regWriteData,
debug_out
);
......@@ -37,6 +41,7 @@ module spiifc(
// Parameters
//
parameter AddrBits = 12;
parameter RegAddrBits = 4;
//
// Defines
......@@ -47,36 +52,49 @@ parameter AddrBits = 12;
`define CMD_WRITE_MORE 8'd4
`define CMD_INTERRUPT 8'd5
`define CMD_REG_BASE 8'd128
`define CMD_REG_BIT 7
`define CMD_REG_WE_BIT 6
`define CMD_REG_ID_MASK 8'h3F
`define STATE_GET_CMD 8'd0
`define STATE_READING 8'd1
`define STATE_WRITING 8'd2
`define STATE_WRITE_INTR 8'd3
`define STATE_BUILD_WORD 8'd4
`define STATE_SEND_WORD 8'd5
//
// Input/Outputs
//
input Reset;
input SysClk;
input SPI_CLK;
output SPI_MISO; // outgoing (from respect of this module)
input SPI_MOSI; // incoming (from respect of this module)
input SPI_SS;
output [AddrBits-1:0] txMemAddr; // outgoing data
input [7:0] txMemData;
output [AddrBits-1:0] rcMemAddr; // incoming data
output [7:0] rcMemData;
output rcMemWE;
input Reset;
input SysClk;
input SPI_CLK;
output SPI_MISO; // outgoing (from respect of this module)
input SPI_MOSI; // incoming (from respect of this module)
input SPI_SS;
output [AddrBits-1:0] txMemAddr; // outgoing data
input [7:0] txMemData;
output [AddrBits-1:0] rcMemAddr; // incoming data
output [7:0] rcMemData;
output rcMemWE;
output [RegAddrBits-1:0] regAddr; // Register read address (combinational)
input [31:0] regReadData; // Result of register read
output regWriteEn; // Enable write to register, otherwise read
output [31:0] regWriteData; // Register write data
output [7:0] debug_out;
//
// Registers
//
reg SPI_CLK_reg; // Stabalized version of SPI_CLK
//reg SPI_CLK_reg1;
reg SPI_SS_reg; // Stabalized version of SPI_SS
//reg SPI_SS_reg1;
reg SPI_MOSI_reg; // Stabalized version of SPI_MOSI
//reg SPI_MOSI_reg1;
reg prev_spiClk; // Value of SPI_CLK during last SysClk cycle
reg prev_spiSS; // Value of SPI_SS during last SysClk cycle
......@@ -87,6 +105,12 @@ reg [AddrBits-1:0] rcMemAddr_reg; // Byte addr to write MOSI data to
reg [7:0] debug_reg; // register backing debug_out signal
reg [2:0] txBitIndex_reg; // Register backing txBitIndex
reg [AddrBits-1:0] txMemAddr_reg; // Register backing txAddr
reg [7:0] command; // Command being handled
reg [31:0] rcWord; // Incoming word being built
reg [1:0] rcWordByteId; // Which byte the in the rcWord to map to
reg [RegAddrBits-1:0] regAddr_reg; // Address of register to read/write to
//
// Wires
//
......@@ -101,24 +125,11 @@ reg [AddrBits-1:0] txMemAddr_oreg; // Wirereg piped to txMemAddr output
// Save buffered SPI inputs
always @(posedge SysClk) begin
// SPI_CLK_reg1 <= SPI_CLK;
// SPI_CLK_reg <= SPI_CLK_reg1;
// SPI_SS_reg1 <= SPI_SS;
// SPI_SS_reg <= SPI_SS_reg1;
// SPI_MOSI_reg1 <= SPI_MOSI;
// SPI_MOSI_reg <= SPI_MOSI_reg1;
SPI_CLK_reg <= SPI_CLK;
SPI_SS_reg <= SPI_SS;
SPI_MOSI_reg <= SPI_MOSI;
end
//wire SPI_CLK_reg;
//wire SPI_SS_reg;
//wire SPI_MOSI_reg;
//assign SPI_CLK_reg = SPI_CLK;
//assign SPI_SS_reg = SPI_SS;
//assign SPI_MOSI_reg = SPI_MOSI;
// Detect new valid bit
always @(posedge SysClk) begin
prev_spiClk <= SPI_CLK_reg;
......@@ -166,20 +177,29 @@ always @(*) begin
txMemAddr_oreg <= 0;
end else begin
txBitIndex <= txBitIndex_reg;
//txMemAddr_oreg <= txMemAddr_reg;
if (state == `STATE_WRITING && validSpiBit && txBitIndex == 0) begin
txMemAddr_oreg <= txMemAddr_reg + 1;
end else begin
txMemAddr_oreg <= txMemAddr_reg;
end
end
end
always @(posedge SysClk) begin
txMemAddr_reg <= txMemAddr;
if (validSpiBit && state == `STATE_WRITING) begin
txBitIndex_reg <= (txBitIndex == 0 ? 7 : txBitIndex - 1);
end else begin
txBitIndex_reg <= txBitIndex;
end
txMemAddr_reg <= txMemAddr;
// if (state == `STATE_WRITING && validSpiBit && txBitIndex == 0) begin
// txMemAddr_reg <= txMemAddr + 1;
// end else begin
// txMemAddr_reg <= txMemAddr;
// end
end
assign txMemAddr = txMemAddr_oreg;
assign SPI_MISO = txMemData[txBitIndex];
......@@ -205,14 +225,38 @@ always @(posedge SysClk) begin
state_reg <= `STATE_WRITING;
end else if (`CMD_WRITE_MORE == rcByte) begin
state_reg <= `STATE_WRITING;
end else if (rcByte[`CMD_REG_BIT] != 0) begin
// Register access
rcWordByteId <= 0;
regAddr_reg <= rcByte & `CMD_REG_ID_MASK;
command <= `CMD_REG_BASE; // Write reg Read reg
state_reg <= (rcByte[`CMD_REG_WE_BIT] ? `STATE_BUILD_WORD : `STATE_SEND_WORD);
end else if (`CMD_INTERRUPT == rcByte) begin
// TODO: NYI
end
end
end else if (`STATE_BUILD_WORD == state && rcByteValid) begin
if (0 == rcWordByteId) begin
rcWord[31:24] <= rcByte;
rcWordByteId <= 1;
end else if (1 == rcWordByteId) begin
rcWord[23:16] <= rcByte;
rcWordByteId <= 2;
end else if (2 == rcWordByteId) begin
rcWord[15:8] <= rcByte;
rcWordByteId <= 3;
end else if (3 == rcWordByteId) begin
rcWord[7:0] <= rcByte;
end
end else begin
state_reg <= state;
end
end
// Register logic
assign regAddr = regAddr_reg;
assign regWriteEn = (`STATE_BUILD_WORD == state && rcByteValid && 3 == rcWordByteId ? 1 : 0);
assign regWriteData = {rcWord[31:8], rcByte};
// Debugging
always @(posedge SysClk) begin
if (rcByteValid) begin
......
C3
F0
0F
5C
C5
\ No newline at end of file
`timescale 1ns / 1ps
////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 11:08:12 02/15/2012
// Design Name: spiifc
// Module Name: C:/workspace/robobees/hbp/fpga/spitest/pcores/spi_v1_00_a/hdl/verilog/spiifc_tb2.v
// Project Name: spi
// Target Device:
// Tool versions:
// Description:
//
// Verilog Test Fixture created by ISE for module: spiifc
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
////////////////////////////////////////////////////////////////////////////////
module spiifc_writereg_tb;
// Inputs
reg Reset;
reg SysClk;
reg SPI_CLK;
reg SPI_MOSI;
reg SPI_SS;
reg [7:0] txMemData;
// Outputs
wire SPI_MISO;
wire [11:0] txMemAddr;
wire [11:0] rcMemAddr;
wire [7:0] rcMemData;
wire rcMemWE;
wire [7:0] debug_out;
// Instantiate the Unit Under Test (UUT)
spiifc uut (
.Reset(Reset),
.SysClk(SysClk),
.SPI_CLK(SPI_CLK),
.SPI_MISO(SPI_MISO),
.SPI_MOSI(SPI_MOSI),
.SPI_SS(SPI_SS),
.txMemAddr(txMemAddr),
.txMemData(txMemData),
.rcMemAddr(rcMemAddr),
.rcMemData(rcMemData),
.rcMemWE(rcMemWE),
.debug_out(debug_out)
);
task recvByte;
input [7:0] rcByte;
integer rcBitIndex;
begin
$display("%g - spiifc receiving byte '0x%h'", $time, rcByte);
for (rcBitIndex = 0; rcBitIndex < 8; rcBitIndex = rcBitIndex + 1) begin
SPI_MOSI = rcByte[7 - rcBitIndex];
#100;
end
end
endtask
always begin
#20 SysClk = ~SysClk;
end
reg SPI_CLK_en;
initial begin
#310
SPI_CLK_en = 1;
end
always begin
#10
if (SPI_CLK_en) begin
#40 SPI_CLK = ~SPI_CLK;
end
end
integer fdRcBytes;
integer fdTxBytes;
integer dummy;
integer currRcByte;
integer rcBytesNotEmpty;
reg [8*10:1] rcBytesStr;
initial begin
// Initialize Inputs
Reset = 0;
SysClk = 0;
SPI_CLK = 0;
SPI_CLK_en = 0;
SPI_MOSI = 0;
SPI_SS = 1;
txMemData = 0;
// Wait 100 ns for global reset to finish
#100;
Reset = 1;
#100;
Reset = 0;
#100;
// Add stimulus here
SPI_SS = 0;
// For each byte, transmit its bits
fdRcBytes = $fopen("rc-bytes-writereg.txt", "r");
rcBytesNotEmpty = 1;
while (rcBytesNotEmpty) begin
rcBytesNotEmpty = $fgets(rcBytesStr, fdRcBytes);
if (rcBytesNotEmpty) begin
dummy = $sscanf(rcBytesStr, "%x", currRcByte);
recvByte(currRcByte);
end
end
// Wrap it up.
SPI_SS = 1;
#1000;
$finish;
end
endmodule
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment